Chemical Mechanical Planarization of Microelectronic Materials JOSEPH M. STEIGERWALD SHYAM P. MURARKA RONALD J. GUTMA"
...
99 downloads
1273 Views
14MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
Chemical Mechanical Planarization of Microelectronic Materials JOSEPH M. STEIGERWALD SHYAM P. MURARKA RONALD J. GUTMA"
WILEYVCH WILEY-VCH Verlag GmbH & Co. KGaA
This Page Intentionally Left Blank
Chemical Mechanical Planarization of Microelectronic Materials
This Page Intentionally Left Blank
Chemical Mechanical Planarization of Microelectronic Materials JOSEPH M. STEIGERWALD SHYAM P. MURARKA RONALD J. GUTMA"
WILEYVCH WILEY-VCH Verlag GmbH & Co. KGaA
All books published by Wiley-VCH are carefully produced. Nevertheless, authors, editors, and publisher do not warrant the information contained in these books, including this book, to be free of errors. Readers are advised to keep in mind that statements, data, illustrations, procedural details or other items may inadvertently be inaccurate. Library of Congress Card No.: Applied for British Library Cataloging-in-PublicationData: A catalogue record for this book is available from the British Library Bibliographic information published by Die Deutsche Bibliothek Die Deutsche Bibliothek lists this publication in the Deutsche Nationalbibliografie; detailed bibliographic data is available in the Internet at .
0 1997 by John Wiley & Sons, Inc. 02004 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim All rights reserved (including those of translation into other languages). No part of this book may be reproduced in any form - nor transmitted or translated into machine language without written permission from the publishers. Registered names, trademarks, etc. used in this book, even when not specifically marked as such, are not to be considered unprotected by law. Printed in the Federal Republic of Germany Printed on acid-free paper Printing Strauss GmbH, Morlenbach Bookbinding Litges & Dopf Buchbinderei GmbH, Heppenheim ISBN-13: 978-0-471-1 3827-3 ISBN-10: 0-47 1-13827-4
CONTENTS
Preface 1 Chemical Mechanical Planarbation-An Introduction 1.1 Introduction 1.2 Applications 1.3 The CMP Process 1.4 CMPTools 1.5 Process Integration 1.6 Conclusion and Book Outline References 2 Historical Motivations for CMP
2.1 Advanced Metallization Schemes 2.1.1 Interconnect Delay Impact on Performance 2.1.2 Methods of Reducing Interconnect Delay 2.1.3 Planarity Requirements for Multilevel Metallization 2.2 Planarization Schemes
xi 1 1 4 7 10 11 11 13 15
16 16 19 22 25
V
CONTENTS
vi
2.2.1 Smoothing and Local Planarization 2.2.2 Global Planarization 2.3 CMP Planarization
26 29 29
2.3.1 Advantages of CMP 2.3.2 Disadvantages of CMP 2.3.3 The Challenge of CMP References
31
32 32 33
3 CMP Variables and Manipulations
36
3.1 Output Variables 3.2 Input Variables References 4 Mechanical and Electrochemical Concepts for CMP 4.1 Preston Equation 4.2 Fluid Layer Interactions 4.3 Boundary Layer Interactions
4.4
4.5
4.6
4.7
4.3.1 Fluid Boundary Layer 4.3.2 Double Layer 4.3.3 Metal Surface Films 4.3.4 Mechanical Abrasion Abrasion Modes 4.4.1 Polishing vs. Grinding 4.4.2 Hertzian Indentation vs. Fluid-Based Wear The Polishing Pad 4.5.1 Pad Materials and Properties 4.5.2 Pad Conditioning Electrochemical Phenomena 4.6.1 Reduction-OxidationReactions 4.6.2 Pourbaix Diagrams 4.6.3 Mixed Potential Theory 4.6.4 Example: Copper CMP in NH3-BasedSlurries 4.6.5 Example: Copper-Titanium Interaction Role of Chemistry in CMP
38 40 47 48
49 51 55 56 58 60 61 62 62 64 65 66
83 84 86 90 94 98 107 120
CONTENTS
Abrasives References
4.8
5 Oxide CMP Processes-Mechanisms and Models The Role of Chemistry in Oxide Polishing 5.1.1 Glass Polishing Mechanisms 5.1.2 The Role of Water in Oxide Polishing 5.1.3 Chemical Interactions Between Abrasive and Oxide Surface 5.2 Oxide CMP in Practice 5.2.1 Polish Rate Results 5.2.2 Planarkation Results 5.2.3 CMP in Manufacturing 5.2.4 Yield Issues 5.3 summary References 5.1
6 Tungsten and CMP Processes
6.1 Inlaid Metal Patterning 6.1. I RIE Etch Back 6.1.2 Metal CMP 6.2 Tungsten CMP 6.2.1 Surface Passivation Model for Tungsten CMP 6.2.2 Tungsten CMP Processes 6.3 Summary References
7 CopperCMP 7.1 Proposed Model for Copper CMP 7.2 Surface Layer Formation-Planarkation 7.2.1 Formation of Native Surface Films 7.2.2 Formation of Nonnative Cu-BTA Surface Film 7.3 Material Dissolution
vii
124 126 129
130 130 136 140 147 148 155 165 167 176 178 181
181 184 185 192 192 194 206 206
209
210 21 1 212 220 222
viii
CONTENTS
7.3.1 7.3.2 7.3.3
Removal of Abraded Material Increasing Solubility with Complexing Agent Increasing Dissolution Rate with Oxidizing Agents 7.3.4 ChemicalAspect of the Copper CMP Model 7.4 Preston Equation 7.4.1 Preston Coefficient 7.4.2 Polish Rates 7.4.3 Comparison of KpValues 7.5 Polish-Induced Stress 7.6 Pattern Geometry Effects 7.6.1 Dishing and Erosion in CdSiO, System 7.6.2 Optimization of Process to Minimize Dishing and Erosion 7.6.3 Summary References 8 CMP of Other Materials and New CMP Applications
224 226 230 238 244 244 245 248 25 1 254 255 266 267 267 269
8.1 The Front-End Applications in Silicon IC Fabrication 8.1.1 Polysilicon CMP for Deep Trench Capacitor Fabrication 8.1.2 Shallow Trench Isolation 8.1.3 CMP of Polysilicon Films 8.1.4 CMP of Photoresists 8.1.5 CMP in Fabricating SuperconductingCircuits
270 273 274 275 276
8.2 Planarizing A1 and A1 Alloys
276
8.3
270
Planarization of Diffusion BarrierslAdhesion
Promoters 8.4 CMP of Advanced Interlevel Dielectric Materials: Polymers 8.4.1 Polymer CMP 8.4.2 Inlaid Metal CMP with Polymer ILDs
280 28 1 284
8.5 Other Applications References
285 287
280
CONTENTS
9 Post-CMP Cleanup 9.1 Direct Generation and Microcontamination 9.2 Particle Removal 9.3 Microcontaminationand Chemical Defects 9.4 summary References
ix
289
290 300 303 303 303
Appendix-Problem Sets
306
Index
317
This Page Intentionally Left Blank
PREFACE
In spite of being a historically ancient technology, chemical mechanical planarization (CMP) has never attracted so much attention as it has in the last few years. This is because of its applicability in planarizing the dielectrics and metal films used in the silicon integrated circuit (Si IC) fabrication. Continued miniaturization of the device dimensions and the related need to interconnect an increasing number of devices on a chip have led to building multilevel interconnections on planarized levels. The difference between the historical uses of CMP and those in the Si IC fabrication lies in the amount of material that can be removed prior to achieving the desired planarity. Very thin (usually less than 0.5 pm) materials have to be removed precisely, ending up on a different material and on a sea of embedded metal and dielectric surfaces. Maintaining the precise control on the remaining thickness, which is also very small (50.5 pm), to within 0.014.05 pm while maintaining the integrity of underlying structures are added requirements. This severity of such criteria for CMP has challenged both scientists and engineers. Understanding the CMP process with a large number of variables and the science of pads, abrasives, slurry chemistry, post-CMP cleaning, feature size dependency, etc. have become essential in developing a reliable high-performance and xi
xu
PREFACE
cost-effective CMP process. These requirements have led to an unprecedented research and development activity, both in the industrial and university sectors, as well as in tool and consumable manufacturers. A close association between these different groups and among various branches of science and engineering has developed, driven by the economic leverage that is provided by low-cost, multilevel interconnect structures for advanced ICs. At Rensselaer Polytechnic Institute’s Center for Integrated Electronics and Electronics Manufacturing, we have been involved in CMP-related research from the time when IBM demonstrated the advantages to the rest of the world. Several years of research in this area has evolved into this book, which describes the science and technology of CMP, along with IC applications, and with a review of the available data. The scientific challenges of CMP as well as the engineering challenges for implementation in IC manufacturing environments are appreciable. This book is intended to be a resource for both groups. We have also provided problem sets in the Appendix at the end of the book for the students in this area. Problems have been defined to encourage scientific understanding leading to engineering applications. Chapters 1 and 2 introduce the CMP process and historical motivations. The present status of CMP is discussed in Chapter 2, which focuses on establishing the need of advanced metallization schemes and planarization. There are a large number of variables that control the process; these are discussed in Chapter 3. Chapter 4 presents the science of CMP-mechanical and chemical concepts important in understanding the CMP fundamentals. The CMP of the Si02 films, the most commonly used insulator interlayer dielectric, is discussed in Chapter 5. Chapters 6 and 7 cover the CMP of the two most studied metals, W and Cu, respectively. Chapter 8 examines the applicability of CMP to new materials, e.g., Al, polymers, and Si3N4photoresists. Finally, Chapter 9 covers postCMP cleaning science and technology. The authors thank a large number of groups and people who have directly or indirectly contributed to making this book possible. IBM, Intel, SEMATECH, and SRC have funded and monitored the CMP programs at Rensselaer leading to the present state of knowledge. A large number of people involved in research at these institutions and National Semiconductor, Texas Instruments, AMD, Motorola, LSI Logic, and IPEC/Planar
PREFACE
xiii
have also contributed during the research at Rensselaer and in preparation of the manuscript. Professors M. Tomozawa and D. Duquette have provided insights and reviews very valuable in the preparation of the book. Discussions with L. Cook, M. Fury, K. Holland, R. Jairath, and several graduate students working in CMP-related research at Rensselaer have been very fi-uitikl. Many thanks are due and sincerely given to Mrs. Lori Wilson for putting the manuscript together. Without her several hours of hard work, the production of this book would not have been possible. We also wish to note our appreciation to Mr. Jan Neirynck for helping us diligently in finding referenced materials. We are grateful to many authors whose papers we have followed closely in various parts of the book and who allowed us to use their work in this book. We are also thankful to Academic Press, Adam Hilger, American Institute of Physics, American Ceramic Society, ButterworthHeinemann, The Electrochemical Society, Institute of Electrical and Electronics Engineers, Japan International Conference on Solid State Devices and Materials, John Wiley & Sons, Journal of Cellular Plastics, Journal of Crystalline Growth, Macmillan Publishing Company, Materials Research Society, and the University of South Florida (T.E. Wade), which publishes Proceedings of the VLSI Multilevel Inteconnection Conference, for the use of copyrighted materials. Most of all, we would like to thank our families for the understanding and love that made writing of this book possible.
This Page Intentionally Left Blank
CHAPTER 1
CHEMICAL MECHANICAL
PLANARIZATION - AN INTRODUCTION
Planarization is the process of smoothing and planing surfaces. Chemical mechanical planarization (CMP) is the process of smoothing and planing aided by the chemical and mechanical forces. CMP also refers to chemical mechanical polishing that causes planarization of surfaces. Note,however, that polishing and planarization are not synonyms. Polishing generally refers to smoothing of the surface not necessarily planar. Here we shall use CMP for chemical mechanical planarization.
1.1
INTRODUCTION
Historically CMP has been used to polish a variety of materials for thousands of years, for example to produce optically 1
2
CHEMICAL MECHANICAL PLANARIZATION
flatand mirror finished surfaces. Nature has run its own CMP processes to produce beautifully finished stones, finishing affected by years of exposure to generally not-so-aggressive chemical and mechanical forces. Beautifully finished inlaid metal objects have been prepared by the so-called "damascene" process. More recently optically flat and damage-free glass and semiconductor surfaces have been prepared by the use of the CMP processes. Now CMP is being introduced in planarizing the interlayer dielectric (ILD) and metal used to form interconnections between devices and between devices and the world. It is projected that the observed effectiveness of the CMP process will lead to the widespread use of this process at various stages of integrated circuit (IC) fabrication, for a variety of high performance and application-specific ICs, and for a variety of materials. What is so unique about CMP? CMP achieves planarization of the nonplanarized surfaces. Nonplanarized surface topography is a result of the fabrication process that ends up with a deposition of the filmon a previously patterned surface, with a pattern generated by an etching. The generation of surface topography by several deposition, pattern etch, and planarization processes have been examined by Pai et al.(') Table 1.1, adapted to include other processes not originally considered, compare various processes with G, N, S, and P defining generation or amplification of steps, with no effect or neutral, local planarization or smoothing, and global or true planarization (caused by the process under consideration), respectively. Loss of planarity also arises during lithography not explicitly discussed in Table 1.1. Several other factors, namely autofocus errors, residual lens aberrations, resist thickness variations, and wafer curvature associated with wafer preparations and with film stresses, influence planarity. One can judiciously identify the process sequence to enhance a topography or to obtain localized or globally planarized structure. Only CMP is universally applicable to cause global planarization. Table 1.2 lists several advantages of CMP.(2) These are discussed again in Chapter 2. The most important advantage is that CMP achieves global planarization which is essential in building
1.1 INTRODUCTION
3
Table 1.1 The Effects of Certain Processes on Wafer Surface Topography’’) Fine
Fine Sunken Geometries Geometries
Raised
Planar Surface
Arbilrary Geometries
Evaporation SputteMg Biassputtering Nonconformal CVD Conformal CVD Selective Deposition Spin Coating
N N N N N N N
G G
P S
Wet Etching Dry Etching Liftoff
G G G
G
G
G
G P
G
G
P
P
Reflow Etch-back Planarization
N N
S S
S
Pd
S
P
P
P
P
process step
~
Chemmech Polishing
~
~~
P
G G
G G
S
P
S
G
G
S
S P
G P
F
S
pb
~~
‘Requires suitable surface for selectivity. bAssumes negligible shrinkage. FRequires lift-off medium self-aligned to geometries. dSevereresaiction on total areas and pattern geometries. G = Generation or amplification of steps N = No effect S = Local planarization M smoothing P = Global planarization
multilevel interconnections (see Chapter 2). Table 1.3, adapted from the Semiconductor Industry Association’s (SIA) National Technology Roadmap for Semiconductors ( N T R S ) , (3) shows the increasing number of interconnection levels in high performance circuits and memories. Note the chronologically increasing planarity req~irements.’~)
4
CHEMICAL MECHANICAL PLANARIZATION
Table 1.2 Advantages of CMP'"
Achieves global planarization. Universal or materials insensitive -all types of surfaces can be planarized. Useful even for multi-matad surfaces. Reduces severe topograPay allowing for fabrication with tighter design rules and additionaI interconnection levels. provides an alternate means of patterning metal (e.g., damascene) eliminating the need of the reactive ion etching or plasma etching for difficult-toetch metals and alloys. Leads to improved metal step coverage (or equivalent). Helps in increasing reliability, speed and yield (lower defect density) of sub45 pn devices/circuits. Expected to be a low cost process. Does not use hazardous gases in dry etching process.
1.2
APPLICATIONS
It is noted that the successful applications of the CMP process in silicon integrated circuits (IC) were started with building multilevel (greater than 2) interconnection structures employing deposited SiO, as the ILD and the chemical vapor deposited (CVD) tungsten as the via fill metal with sputtered aluminum as the planar interconnection metal!3 In this application CMP achieved two results: (i) planarization of the SiO, surface and (ii) removal of CVD tungsten from horizontal surfaces, thus allowing the excellent via fill metal to be then connected to horizontal aluminum interconnections formed by sputter deposition and the subsequent reactive ion etching. Thus initial process developments focused on Since these developthe CMP of SiO, and tungsten ments, the use of CMP has expanded to (i) a large variety of materials including metals (Al,Cu, Ta, Ti, TiN, W, and their alloys), insulators (SiO, and doped SiO, glasses, Si,N.,, and polymers), and polysilicon, (ii) a variety of applications involving even larger area planarization such as those used in multichip modules
1.2 APPLICATIONS
5
Table 1 3 National Technology Roadmap for Semiconductors'3~
MFSb (run) Chip Size (nun2) DRAM Micropracessor ASIC Maximum Substrate Diameter (mm) Number of Metal Levels DRAM Microprocessor Maximum Interconnection Length Logic (meterkhip) Planarity Requirements (nm)within Litho Field for Minimum Interconnection CD Minimum Interconnection CD @m) (metal 1) Interconnection Metal ILD Dielectric Constant Particle Size (pm) Integrated Particle Density per Module (particledmete8)
0.35
0.25
0.18
0.13
0.10
0.07
190 250 450 200
280 300 660 200
420 360 750 300
640 430 300
960 520 1100 400
1400 620 1400 400
2 4-5
2-3 5
3 5-6
3 6
3 6-7
3 7-8
380
840
2100
4100
6300
l0,OOO
300
300
250
150
150
150
0.40
0.30
0.22
0.15
0.11
0.08
Al
A l , c u Al,cu cu,Al cu,Al cu,Al 1-2 1-2 <3 12.5 12
3.9 (3-3.9) M.12 20.08 20.06 125 125 125
'Year of fmt DRAM shipment. bMFS = minimum feature size.
900
20.04 125
20.04 125
20.03 125
6
CHEMICAL MECHANICAL PLANARIZATION
Table 1.4 Materials to be Polished and Possible Applications
Metal
Materials
Application'
Al
Interconnection Interconnection DB/@ DB/AP DB/AP Interconnection e-Emitter Interconnection Interconnection GateDnterconnection ILD ILD ILD ILD Passivation/Hard CMP Stop Layer ILD Flat Panel Packaging/capacitor Interconnectionb'ackaging Optoelectronics Packaging Advanced Devices/Chuh
cu Ta Ti
Ta,T'NxCy W
cu-Alloys Dielectric
Other
Al-Alloys Polysilicon SiO, BPSG PSG Polymers Si,N, or SiOxNy Aerogels IT0 High K Dielectrics High T, Superconductor Optmlectronic Materials Plastics, Ceramic Silicon-on-Insulator (SOI)
'Among other process applications are the use of CMP to improve shallow mnch isolation, Bird's beak planarkation, and stacked or trench capacitor. bDB/AP = Diffusion Barrier/Adhesion Promoter
and in other IC packaging and in flat panel displays, and (iii) planarizing materials at different levels of silicon device and integrated front-end circuit processing, even as early as at the polysilicon level. Table 1.4 lists the materials and possible appli-cations.
13 THE CMP PROCESS
7
References to these research and development efforts are given throughout this book.
1.3
THE CMP PROCESS
The C M P process simply consists of moving the sample surfaces to be polished against a pad that is used to provide support against the sample surface (the pad thus experiences the pressure exerted on the sample), and to carry slurry between the sample surface and pad to affect the polishing leading to planarization. Abrasive particles in the slurry cause mechanical damage on the sample surface, loosening the material for enhanced chemical attack or fracturing off the pieces of surface into a slurry where they dissolve or are swept away. The process is tailored to provide enhanced material removal rate from high points on surfaces (compared to low areas), thus affecting the planarization. Note chemistry alone will not achieve planarization because most chemical actions are isotropic. Mechanical grinding alone, theoretically, may achieve the desired planarization but is not desirable because of extensive associated damage of the material surfaces. Details of the process are discussed in subsequent chapters. Here it is pointed out that there are three main players in this process: 1. The surface to be polished, 2. The pad - the key media enabling the transfer of mechanical forces to the surface being polished; and 3. The slurry -that provides both chemical and mechanical effects. Each of the above are extremely important and briefly discussed here. Most of the variables discussed in Chapter 3 could be categorized in one of the above groups. Temperature, pressure, relative velocity of the surface being polished with respect to pad (which is usually rotating), and pre- and post-CMP cleaning that may affect the final acceptance criteria for the polished surface are other parameters that play important roles.
8
CHEMICAL MECHANICAL PLANARIZATION
The key knowledge of the chemical, structural, and mechanical properties of the surface to be polished establishes the polishing parameter space including the chemistry and mechanical force. CMP of a single material is thus easier compared to that of a surface consisting of different materials spaced at different the metal wires and surface coverages. In a damascene p~lishing,’~’ posts buried in the ILD are polished and a strong feature size dependence has been seen.(*) A complex set of phenomena occurs that control this feature size dependence, the most important of which is related to the elastic behavior of the pad. The role of pad and its mechanical properties are discussed in Chapter 4. Ideally one would expect the pad to be rigid and chemically inert so that it can carry abrasives and chemicals (the slurry ingredients) all across the surface being polished. In this case, the surface to be planarized must be kept aligned and parallel with respect to the rigid pad at all times during planarization. For real situations pads are not rigid, leading to several issues: changes occurring in pad properties as polishing continues, cyclic changes, solventlchemical effects on rigidity, and erosion. Similarly pads are not chemically and physically inert materials, thus leading to the following changes in surface and possibly bulk chemistry of the pad ingredients (changes affected by mechanical forces and changes that affect mechanical properties), surface bonding between abrasive and pad, electrochemical effects, and the necessity to recondition or regenerate the pad to cause reproducible polishing behavior. Thus there is a need for understanding these changes in pads as a function of the use and during actual use. The slurry is the third important key player among the three listed above. Key slurry parameters that affect CMP are discussed in Chapter 3. Slurries provide both the chemical action through the solution chemistry and the mechanical action through the abrasives. High polishing rates, planarity, selectivity, uniformity, post-CMP ease of cleaning including environmental health and safety issues, shelf-life, and dispersion ability are the factors considered to optimize the slurry performance. For hard materials like W and Ta mechanical effects are more important. On the other hand for soft
1.3 THE CMP PROCESS
9
materials like aluminum and polymers chemical effects may be more important. Similarly a polycrystalline film may require different chemistry compared to amorphous or single crystalline f i i due to enhanced chemical action at the grain boundaries or at a given grain orientation. Abrasives in the slurry play the very important role of transferring mechanical energy to the surface being polished. Commonly used abrasives are SiO, and Q03,although CeO, is one of the most popular abrasive used for the polishing of the glass. The abrasive-liquid interactions, through chemical and physical actions which precede the abrasive-substrate surface and liquid-substrate surface interactions, play an important role in determining the optimum abrasive type, size, shape, and concentration. Finally the last important step of the complete CMP-process sequence is the cleaning. Removal of the slurry from the surface without leaving any macroscopic, microscopic, or electrically active defects is very important in making the process useful. CMP slurries and post chemical cleans should not introduce any chemical or particulate contamination. Thus, cleaning processes must be designed for specific materials surfaces. A final in-situ dry clean (or wet clean) prior to the deposition of the next film, usually the ILD, may be necessary to optimize the interface between polished surfaces and the new deposit. In specific cases the cleaning process could be designed to leave the metallic surfaces protected from corrosion, such as by using benzotriazole (BTA).'9*'o) Note the particle size and density requirements in Table 1.3. Most of the standard cleans can easily remove more than 99% to 99.95% of the surface particles. However, the leftovers (0.05% or more) require additional cleaning which must be developed. The smaller the particles the more difficult it is to achieve the required cleaning. Understanding the particle-surface adhesion forces and how to overcome these forces are key to developing a good process. Both chemical and mechanical environments may be necessary to loosen the particle from the surface and sweep it away from the surface. Typical cleaning tool functions can be summarized as:'"' mechanical and hydrodynamic Buff and brush:
10
CHEMICAL MECHANICAL PLANARIZATION
Megasonic :
acoustic streaming (hydrodyn-amic) and cavitation Chemistry: etching, electrostatic repulsion Marangoni: surface tension, surface tension gradient, induced flow; and Various jets: water, ice, dry ice. Considerable research employing the abovementioned tools is being carried out to study and understand the cleaning of surfaces (and to study methods to keep them clean) that could consist of one or multiple materials with and without topography. CMP researchers must keep in touch with this research to provide the optimized cleaning of a variety of surfaces at the end of the planarization.
1.4
CMPTOOLS
Tools are essential in delivering the right process to the sample surface without affecting the underlying materials, yield, and cost-of-ownership (COO).''2) As mentioned earlier, a large variety of tools have been available for polishing of surfaces where the thickness (or the amount) of material removed was generally not critical. In microelectronic applications tools are needed for planarizing thin f i i s , usually less than pn thick. Several vendors have tools (in the market that are used in both research and manufacturing environments. IPEC/WESTECH tools are the most common. Other tool manufacturers include Strasbaugh, Speedfam, Cybeq, On-Trak, and Applied Materials, although the last two have tools in development at this time. Discussion of these tools, their definition, and their effectiveness is beyond the scope of this book. It is, however, mentioned that there are equipment related effects to the process. Table 1.5, adopted from a presentation by Holland,(13)lists some of these equipment contributions to the final process, tool reliability, yield, and throughput, and thus COO.
15 PROCESS INTEGRATION
11
Table 1.5 Equipment Contributions to
OXideplaMmah . 'onRequirements Reproducible pad conditioning pH 4 3 Heat exchange platens Carriers compensate for wafer &as, etc. Recise control of surface speeds and downforce Automatic loading/unloading Slurry removal (cleaning) - elimination of brush cleaning in some applications Emr recovery software Accurate wafer sensing Planarization detector or oxide removal insitu Metal Planarization Additional Requirements - PH >0.6 - Microscratch reduction (oxide buff after metal polish) - End point detect with polish stop
1.5
PROCESS INTEGRATION
As discussed in earlier sections, CMP process involves the surfaces to be polished, pads,slurries which are composed of chemicals, abrasives, and fluids, slurry dispensing tools, CMP tools, cleaning tools, and finally metrology tools to measure the thickness and quality of the processed (or unprocessed) surfaces of films. Figure 1.1 shows a diagram of CMP process integration." Final manufacturability of a given CMP process will be determined by the need, performance, and cost per die. 1.6
CONCLUSION AND BOOK OUTLINE
In order to decrease the cost and delay impacts of the interconnect layers, new materials and processing schemes must be chosen to decrease processing complexity, defect density, and inter-
12
CHEMICAL MECHAMCAL PLANARiZATION
Integration
Consumahles
Oxrdr SlUrrlrr
Metal Slurries Chemi' d1s Polishing Pad Carrier Films
Figure 1.1
Thin Film
- Mixtng - I)nbihution - Dispensing - Filtratim
Single I lead Multi-Head Fnd-Point k t a tion
Surface Profiling
MrgasonI
Non-uniform ity
Other Clean
Surface nPfpck Other Inspection
Showing the materials and tool sets required for complete CMP- process integration!2)
connect delay. In addition, these new materials and processing schemes must be extendible to very fine dimensions to meet requirements of future IC manufacturing. To meet the requirements of low-cost, high performance IC manufacturing, current investigations include copper metal('4) and low dielectric polymers('5) materials sets and CMP of metal and ILDs.(16) CMP of several layers (trench isolation, ILD, tungsten plugs) is already occurring in manufacturing at several companies. Future processing technologies are likely to expand the use of CMP to the planarization of a variety of materials.The intent of this book is to investigate the current understanding and utilization of CMP as well as the challenges of integrating CMP into future metallization schemes. Chapter 2 discusses in detail the need for CMP planarization, 1ow-q ILDs, and low resistivity metals. Chapter 3 gives a brief overview of the important variables to consider in a CMP process. Chapter 4 discusses the fundamental chemical, electrochemical, and mechanical principles necessary to discuss CMP mechanisms. Chapters 5, 6, and 7 discuss oxide CMP, tungsten, aluminum, and other metal CMP, and copper CMP, respectively. Chapter 8 discusses the CMP of other materials such as low-E, ILDs. This book attempts to strike a balance between fundamental understanding and practical application of CMP. We believe that a fundamental understanding of CMP is critical to the success of CMP in practice.
REFERENCES
13
REFERENCES 1.
2.
3.
4.
5.
6.
7.
8.
9. 10. 11.
P.L. Pai, C.H. Ting, and W.G. Oldham, Proc. 5th VMIC IEEE, Santa Clara, CA, Cat. No. 88CH2624-5, IEEE, (1988), p. 108, NY. M.M. Martinez, paper presented at the SRC Topical Research Conference on Chemical Mechanica Mechanical Polishing held at Rensselaer Polytechnic Institute, Troy, NY,July 26-27 (1993, Proc. available from Semiconductor Research Corporation, Research Triangle Park, NC. The National Technology Roadmap for Semiconductors, Semiconductor Industry Association (SIA), San Jose, CA (1994). S. Sivaram, K. Monnig, R. Tolles, A. Maury, and R. Leggett, Proc. III Int. Symp. ULSI Science & Technol., eds. J.M. Andrews and G.K. Celler, The Electrochemical Society Inc., Pennington, NJ (1991), p. 606. D. Moy, M. Schadt, C.K. Hu, F. Kaufinan, A.K. Ray, N. Mazzeo, E. Baran, and D.J. Pearson, Proc. 6th VMIC, Santa Clara, CA, IEEE Cat. No. 89THO259-2, IEEE, New York (1989), p. 26. R.R. Uttecht and R.M. Geffken, Proc. 8th VMIC, Santa Clara, CA, IEEE Cat. No. 91THO359-0, IEEE, New York (1991), p. 20. F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie, D.J. Pearsons, and M.B. Small, J. Electrochem. SOC.138.3460 (1991). J.M. Steigerwald, R. Zirpoli, S.P.Murarka, D. Price, and R. Gutmann, J. Electrochem. SOC.141,2842 (1994). J.M. Steigerwald, S.P. Murarka, D.J. Duquette, and R.J. Gutmann, Mat. Res. SOC.Symp. Proc. 337, 133 (1994). V. Brusic, M.A. Frisch, B.N. Eldridge, F.P. Novak, F.B. Kaufman, B.M. Rush, and G.S. Frenkel, J. Electrochem. SOC.138,2253 (1991). M.A. Taubenblatt and K.R. Pope, paper presented at the SRC Workshop on CMP, held at Rensselaer Polytechnic
14
12. 13.
CHEMICAL MECHANICAL PLANARIZATION
Institute, Troy, NY,July 26-27 (1995), Proc. available from Semiconductor Research Corporation, Research Triangle Park, NC. R. Jairath, J. Farkas, C.K. Huang, M. Stell, and S.-M. Tzeng, Solid State Tech. 71 (1994). K. Holland, paper presented at the SRC Workshop on CMP, held at Rensselaer Polytechnic Institute, Troy, NY,July 2627 (1995), Roc. available from Semiconductor Research Corporation, Research Triangle Park,NC.
CHAPTER 2
HISTORICAL MOTIVATIONS FOR CMP
As mentioned in Chapter 1, the present state of CMP is the result of the semiconductor industry’s needs to fabricate multilevel interconnections for increasingly complex, dense, and miniaturized devices and circuits. This need is related to improving the performance while adding more devices, functions, etc. to a circuit and chip. This chapter, therefore, discusses the impact of advanced metallization schemes on the performance and cost issues of the ICs. Our discussions start with the impact of reducing feature sizes on performance and the need of various schemes to counter the adverse effect of device shrinkage on the performance of interconnections. An impact of continued device shrinkage on circuit delay is discussed. Then the need of low resistivity metal, low dielectric constant ILD,and planarized surfaces is established leading to the discussion of CMP. Finally various planarization techniques are compared to show why C M P is the process that will satisfy the planarity requirements of the future.
15
16
HISTORICAL MOTIVATIONS FOR CMP
2.1
ADVANCED METALLIZATION SCHEMES
Advanced metallization schemes are required to obtain the perfonnance benefits of scaling device dimensions into the sub-0.5 pm regime. This section discusses the origin of the interconnect delay and impact on IC electrical perfonnance. Methods of reducing interconnect delay will be discussed, including MLM and the use of new metal and ILD materials. As additional metal layers are added, surface planarization requirements increase. This section discusses planarity requirements while subsequent sections discuss planarization schemes, including CMP. 2.1.1 Interconnect Delay Impact on Performance The interconnect delay in an IC is due to the capacitance (C) and resistance (R) associated with the metal lines. The most widely used measure of the interconnect delay is the RC time delay, which is the time it takes for the voltage at one end of a metal line to reach 63% of its final value when a step input is presented at the other end of the line. The RC time delay is equal to the product of the total resistance and the total capacitance of the line. The resistance of the line is given by’”
where p is the metal resistivity and 1, w, d, and A are the length, width, thickness, and cross sectional area of the line, respectively.
To the first order, the capacitance of the line is given by:(’) WI
C=€-
t
where e is the permittivity and t is the thickness of the insulator. Note that, permittivity, e, is equal to the insulator dielectric constant, & times the permittivity of free space, e,,. Combining (2.1) and (2.2) gives the RC time delay
2.1 ADVANCED METALLIZATION SCHEMES
RC
=
pe-
17
l2
td
To reduce the RC time delay, p, e, and 1 must be decreased, while d and t must be increased. Equation 2.3 suggests that the RC delay is not dependent upon line width and therefore should not change as line dimensions are decreased. However, often when feature sizes are scaled on an IC, the line thickness and the ILD thickness are scaled down but, as a result of increasing chip complexity, the line length remains constant(2) As a result, the RC delay does increase as dimensions are scaled. RC delay increases with scaling for a second reason. Equation (2.2) considers only the line-to-ground capacitance and does not consider the capacitance between adjacent metal lines. With current aluminum/SiO, materials systems line-to-line capacitance is negligible for wide, isolated lines but becomes sigmficant for line spacings under 3 P.(~) The line-to-line capacitance increases with decreasing feature size, and at approximately 1.5 p, the line-to-line capacitance begins to significantly affect the total capacitance. At approximately 0.5 pn, the line-to-line capacitance dominates and the total capacitance increases sharply with further decrease in feature size, Figure 2. la.'4) As a result, instead of being constant with line width, the RC time delay increases for feature sizes below 1.5 p and increases dramatically below 0.5 p feature size. In contrast to the interconnect delay, device delay decreases with decreasing feature size. Figure 2.1b(4)shows that above 1 p, nearly all of the total delay is attributed to device delay and scaling decreases both the device delay and the total delay. However, as dimensions are scaled to 0.5 pn and below, interconnect delay contributes significantly to total delay. At 0.5 p minimum dimensions, the interconnect delay accounts for approximately 20% of the total delay, while for 0.25 pm minimum dimensions, approximately 50% of the total delay is interconnect de1ay.Q Thus, even though scaling decreases the device delay, the impact on total delay of scaling into the sub-0.5 p regime will be diminished un-
18
HISTORICAL MOTIVATIONS FOR CMP
Feature Size (pm) (a)
Feature Size (pm) (b)
Figure 2.1
(a) Capacitance associated with metal lines and (b) total delay vs. minimum feature size (from Ref. (4)).
less a means can be found to also decrease interconnect delay. It is noted that Figure 2.1 is the result of specific assumptions made by the authors'4' of these calculations and the results could vary if the assumptions are redefined or changed. However the trends
2.1 ADVANCED METALLIZATION SCHEMES
19
represented in Figure 2.1 have been clearly established in a series of papers since 1980, establishing the need of improved interconnection performance. 2.1.2 Methods of Reducing Interconnect Delay From Equation (2.3), any change that decreases p, E, or 1 or increases d or t, will decrease the interconnect delay. In particular, decreasing the line length, 1, will have a dramatic impact since interconnect delay increases with the square of 1. Thus, it is advantageous to avoid long metal lines. From the above discussion on line-to-line capacitances, the width of the interconnections should be made as large as possible. As will be discussed below, the use of multilevel metallization decreases line length and allows for interconnections to be scaled less aggressively than the gate level. The combination of low resistivity metal, low dielectric constant ILD,and multilevel metallization should yield high performance interconnections.
Low Resistivity Metal Most current IC metallization schemes utilize aluminum alloys, in part or in full, as the interconnect metal. While aluminum is considered a good conductor, with a resistivity of 2.66 G-cm, other metals possess even lower resistivities. Table 2.1 lists several new candidates for IC metallization as well as metals currently utilized. Of all metals, only silver, copper, and gold have resistivities lower than aluminum.Gold is favorable for its high resistance to corrosion and electromigration, however gold shows only a marginal improvement in resistivity, Furthermore, if introduced into the silicon substrate, gold will dramatically affect electronic properties due to the two deep levels introduced into the silicon band gap.’” Although silver shows the best resistivity, silver also has deep levels in the silicon band gap@)and is a very fast diffuser in Si0,.(9) Finding barriers to silver diffusion into the SiOzand silicon has proven In addition, because of its low melting point, silver has poor electromigration performance.’6’
20
HISTORICAL MOTIVATIONS FOR CMP
Table 2.1 F3qeatks of Low Resistivity Ag
Al
A1 Alloy
Au
Cu
W
Resistivity w - c m )
1.59
2.66
-3.5
2.35
1.67
5.65
Elecmmigration Resistance (at 0.5 ptn)
Poor
Poor
Fair-
Very Good
Good
Very
Poor
Corrosion Resistance
Poor
Good
Good
Excel
Poor
Good
Adhesion to SiO,
Poor
Good
Good
Poor
Poor
Poor
Si Deep Levels
Yes
No
No
Yes
Yes
No
CVD Processing
None
?
None
None
Avail.
Avail.
RIE Etch
None
Avail.
?
Avail.
Avail. None
Good
Of the metals with lower resistivity than aluminum, copper appears to be the most attractive. Copper has a resistivity only slightly greater than silver and approximately 50% lower than currently used aluminum alloys. Copper has a higher melting point (1356 K) than aluminum (933 K) which leads to greater electromigration resistance.'"' Studies have shown up to two orders of magnitude longer mean time to failures with copper metallization vs. aluminum ~ u o Y s . ( ~ * ~As ~ ) interconnection dimensions are scaled, the metal interconnections are required to carry greater electron current densities. Current densities exceeding 2"105A/cm2 which is often quoted as the limit for aluminum alloys before the onset of electromigration failure is projected in the near future.'@ Copper, however, is expected to handle current densities of up to 5"106A/cm2 before the onset of electromigration failure.@) There are, however, several challenges with the using copper metal before it can be accepted into IC manufacturing. First, copper exhibits deep levels in the silicon band gap and copper impurities in SiO, lead to leakage.") However, several materials are effective barriers to copper diffusion and their use as liner films
2.1 ADVANCED METALLIZATION SCHEMES
21
between the copper and the silicon or SiO, will prevent degradation in the electronic properties of the silicon and Si0,.('9 Second, copper is susceptible to corrosion and therefore must be passivated. Several recent articles show promising methods of passivating copper, including Cu5Si(l6)passivation, A1(l7,or B(18)implantation, Cu-Mg alloys,(l9) or silicon nitride encapsulation.@@Third, the traditional method of metal patterning, using reactive ion etching (RE), is not practical for copper because of the lack of copper compounds with high vapor pressures at relatively low temperatures. Thus, new methods of delineating the copper pattern must be developed. However, CMP of copper offers the capability of delineating copper patterns without the need for copper Although there are issues to the use of copper as the interconnection metal, these issues appear to be resolvable; indeed recent literature demonstrates an avid interest for copper metallization in the IC industry.(22'
Low Dielechz'c Constant ILD From Equation (2.3), the RC delay is directly proportional to the dielectric constant of the ILD. By switching from oxidebased ILD to a low q ILD material, significant gains may be obtained in decreasing the interconnect delay. In addition, lowering dielectric constant lowers cross talk due to capacitive coupling between adjacent metal lines and power dissipation which is given by(23)
where f is the operating frequency, C,, and C,, are the capacitances associated with the device and interconnection respectively, and VDD is the supply voltage. Because of these additional performance advantages obtained with lowering q, some industry experts advocate a greater focus on switching to a low E, ILD over switching to copper metal.(%) Several inorganic and organic materials are currently being investigated as low 4 materials.(%)Current ILDs are for the most part CVD SiO, with E, between 3.9 and 6.0 depending upon the
22
HISTORICAL MOTIVATIONS FOR CMP
KO concentration in the SiO,.(m By doping the SiO, with fluorine or boron and lowering the &O content, E, may be reduced to 3.03.9. Organic materials such as those listed in Table 2.2 exhibit even lower dielectric constants and are excellent candidates for low E, ILDs. While low q polymer materials show promise as ILDs, several issues must be resolved before their incorporation into IC manufacturing processes.'26' The polymer must be thermally stable, i.e,. no change in mechanical or electrical properties, above the highest back-end processing temperature. Current back-end processing requires temperatures up to 450°C, and few low q polymer materials currently available are stable up to this temperature. Consequently, either new materials must be developed or processing temperatures lowered. Mechanical instabilities, including stressinduced deformation, delamination, and metal hillocks, are also of concern with new polymer dielectrics. Other concerns include patterning, anisotropy in q and mechanical properties, chemical compatibility with other materials, and metrology of the polymer.
Multilevel Metallization Forming metal interconnections in a multilevel scheme, where different levels of interconnections are isolated by the dielectric and are contacted by vertical vias through the dielectric, reduces interconnect delay by several means."') First, by increasing the number of levels of metal, the packing density of metal lines need not keep pace with the packing density of the gate level so that the interconnect dimensions do not need to shrink as fast as gate level dimensions. Second, the use of multiple levels of metallization reduces the length of the interconnections by allowing for more direct routing. Finally, in instances where long metal lines cannot be avoided, MLM allows for the possibility of routing these lines at the upper levels which maintain even wider dimensions to prevent large delay times on the long lines. 2.13 Planarity Requirements for Multilevel Metallization
As interconnection dimensions shrink and the number of metal layers grows, the need for surface planarity increases. Wafer
2.1 ADVANCED METALLIZATION SCHEMES
23
Table 2.2 Dielectric constant of Selected ILDs
Material
E,
.
SiO, SiO, .F, SiO, B Polyimides Fluorinated Polyimides Parylenes Fluompolymers Teflon-AF Micro-porous Polymers, Aero-gels
3.9-6.0 3.0-3.9 2.9-3.9 2.3-2.8 2.3-2.7 1.8-2.2 1.9 1.7-1.3
surfaces may be separated into three degrees of planarity, as shown in Figure 2.2: (1) surface smoothing, where feature corners are smoothed and high aspect ratio holes are fiied, (2) local planarity where surfaces are flat locally but the surface height may vary across the die, and (3) global planarity where the surface is flat across an entire stepper field.'*') The requirement for surface smoothing and local planarity is driven by metal step coverage. For sub-1.0 prn metallization schemes, electromigration is made severe when thin spots in the aluminum metal occur as a result of film deposition over sharp corners and into high aspect ratio contacts and vias (Figure 2.3).(2') The thin spots result in smaller cross-sectional areas and consequently higher current densities which lead to electromigration failures. Metal step coverage is defined as the ratio of the thinnest point in the metal film,which normally occurs at the bottom of deep contact holes, to the thickest point,which occurs where the film is deposited on a flat surface.m By smoothing the surface and filling contact and via holes, the risk of electromigration due to poor step coverage is diminished. However, as circuit dimensions shrink farther into the sub1.0 prn regime and additional levels of metal are added, surface smoothing becomes inadequate. With multiple layers of metal the non-planarity in the surface is cumulative (see Chapter l), and even with surface smoothing, severe topography can develop.'*') The severe topography coupled with the finer dimensions again leads to poor step coverage and electromigration. Thus, at the finer dimen-
24
HISTORICAL MOTIVATIONS FOR CMP
(a Figure 2.2
Degrees of surface planarity: (a) unplanarized, 0)surface smoothing, (c) local planarization, and (d) global planarization.
Figure 2.3
Step coverage of deposited metal. Poor step coverage leads to thin spots in the metal, resulting in poor electromigration performance.
sions, the planarity requirements increase and local planarity must be obtained. The requirement for global planarity results as circuit dimensions are extended into the sub-0.5 pm regime. Lithography tools require high numerical aperture lenses to print the sub-0.5 pm
2.2 PLANARIZATION SCHEMES
25
line dimensions. These lenses have a depth of field of approximately 270 nm across a 27 mm by 27 mm stepper field.(28)Due to the finite depth of field and tolerances in equipment dimensions, optical steppers will require a variation in topography of less than 150 nm across the stepper field.'29) In addition, surface topography leads to resist thickness variations making control of critical dimensions difficult, because the longer exposure time required to fully expose areas of thick resist result in overexposure in areas of thin resist.'") Thus, planarization methods must be found to provide and maintain global planarity through each level of the MLM scheme.
2.2
PLANARIZATION SCHEMES
There are several proposed methods of measuring the degree of planarity, each measuring how the surface topography changes over a given distance. These measures of planarity are shown schematically in Figure 2.4. The planarization relaxation distance is defined as "The distance, R,traveled over a step, whereupon the original step height, topography, or depth of field, T, r e t ~ r n s . ' ~ ( ~ ~ ) The planarization angle is given by 8 = arctan(T/R).Values of planarization relaxation distances and angles for surface smoothing, local planarization, and global planarization are given in Table 2.3.(30) Note that the mettics of Figure 2.4 do not give the degree to which the maximum variation in topography (or the step height) is reduced by the planarization process. The step height reduction (SHR)is given by
SHR
Figure 2.4
=
l-tpodt,
Measurement of planarity (after Ref. (30)).
26
HISTORICAL MOTIVATIONS FOR CMP
Table 23 Degmx of Planarity@)'
Surface Smoothing Local Planarization Global Planarization
0.1 - 2.0 2.0-100 2100
> 300 3Oo-0.5O 50.5"
where t is the step height. Ideally the SHR will be equal to 1. Finally, the rate at which a surface is planarized by CMP may be expressed as PlaMI.iration rate = p o k h rate of the lrighfeatuns pow rate of lowfi-
-
(2.6)
However, because the polish rates of the high and low features are functions of step height, the planarization rate decays as the CMP process planarizes. These metrics allow the degree of planarization to be measured, and thus allow a direct comparison of different planarizing schemes. However, each of these sets of metrics, R and 0, SHR, and planarization rate measure a different aspect of the planarizing process, and all are required to sufficiently describe the process.
2.2.1 Smoothing and Local Planarization As discussed previously, surface smoothing requires contact
and via fill and smoothing of sharp comers of the deposited ILD. Although contact and via fill processes are described in Section 2.7.2., the several methods are used to smooth the ILD layer are described here. The simplest approach to surface smoothing is to flow the SiO, layer with a high temperature anneal. By doping the SiO, with boron, the glass transition temperature is lowered, allowing flow at temperatures as low as 900°C for doping concentrations of 3-4 w 8 boron.'7' However, flow anneals may only be performed before the f i s t aluminum metal layer as the aluminum melts at the required anneal temperatures. Use of tungsten as the first level metal does allow flow anneals after the fust level
2.2 PLANARIZATION SCHEMES
27
because tungsten is stable at the anneal temperatures due to its refractory properties. A second method of surface smoothing is to deposit a thick layer of SiO, and then a thin the layer by RIE or sputter etching. Because the sputter yield of the SiO, is greatest at an angle of 45”, the corners in the SiO, film etch quicker than the rest of the film and are therefore rounded by the etch process.(2n With some deposition systems, for example, biased electron cyclotron resonance (ECR)plasma deposition, it is possible to deposit and etch concurrently, yielding a one-step process with a reduced deposition rate.‘”) Several local planarity schemes take advantage of the fact that a spin on application of a layer provides a surface that is planar on a local scale. For example, spin on glasses (SOG)may be deposited after an initial CVD SiO, deposition. The substrate is spun at high rotational speeds and the viscous SOG flows across the surface, filling the spaces in the SiO, surface and leaving the surface planar.(31) After a cure to drive off the solvent, the SOG/ CVD SiO, composite layer is etched back to the desired ILD thickness. However, the cured SOG films often contains water that may outgas during subsequent processing to corrode exposed metal. In such instances, the S O G must be sandwiched between two CVD deposited SiO, layers.(32)Thus, three depositions and one etch back are required to produce one ILD layer. Such increases in process complexity are undesirable. In addition, the S O G film shrinks during cure as the solvent is driven from the film.(27)Above metal structures, the SOG layer spins thinner and upon cure shrinks less than the thicker SOG, which is not above metal structures (see Figure 2.5). As a result the SOG/CVD SiO, composite is higher above areas of dense metal patterns than areas with a low density of metal structures and the step height of the composite SiO, layer is not uniform across the entire die. Consequently, only local planarization is achieved.
Figure 2.5
Planarity of SOG film after cure. Shrinkage in the fdm due to curing results in a loss of planarity.
28
HISTORICAL MOTIVATIONS FOR C M P
A second method of local planarization involves spinning photoresist onto the SiO, ILD to obtain local planarity.'33' The resist is then hard baked and etched with an RIE etch tailored to remove S i Q (or ILD) at the same rate as the photoresist. Because the etch rate of the two materials is equal, the planarity of the resist film transfers into the SiO, f i i . However, a precise match in SiO, and photoresist etch rates is difficult to maintain because the relative ratio of SiO, to photoresist exposed increases as the etch back proceeds. Loading effects then result in a decrease in the SiO, etch rate and increase in the photoresist etch rate.(2n Furthermore, polymer deposits build up on the etch reactor chamber walls over time; etching of this polymer depletes the chemicals used to etch the photoresist, which slows the photoresist etch rate.(,') If the etch rates are not matched, the planarity of the photoresist layer will not transfer well to the SiO,. As with the SOG, the photoresist shrinks upon baking and only local planarization is achieved.'%) However, a blocking mask may be used to pattern resist into the spaces lacking metal pattern."% The blocking mask emulates the metal lines so that only narrow spaces are seen before the application of the planarizing resist layer. When the planarizing resist coat is subsequently spun and baked the resist shrinkage problem is less severe and better planarity is achieved. Lastly, if the SiO, deposition is highly conformal, the regions between closely spaced metal lines may be fiied without the production of gaps.',') If the film thickness is equal to half the space width, the space will f i i completely and the corners of the film will join at the top of the space, thereby leaving a nearly planar f i b . Examples of CVD SiO, processes capable of the required high degree of conformality are ECR deposition and tetraethyl orthosilicate (TEOS) plasma CVD-enhanced.(2n While this approach yields local planarization above closely spaced lines, the wide spaces between metal lines are not filled, and thus a sharp step is experienced at the edge of such spaces. Therefore, this approach is often coupled with S O G or resist etch-back processes or CMP.(28)
2 3 CMP PLANARIZATION
2.2.2
29
Global Planarization
As mentioned previously, global planarization is required to meet the depth of field requirements of lithography tools in the sub-0.5 pm regime. Very few other planarization schemes obtain the global planarity offered by CMP, the most widely accepted method of achieving global planarizatiodZn Recent studies have demonstrated the ability to maintain global planarization using a blocking mask and an isotropic resist-oxide etch back/%) However, process latitude with this scheme remains uncertain and in addition, the achievement of global planarization requires a globally planarized ILD at the previous layer. Thus, a process that creates global planarity, such as CMP, is required before the blocking mask, and an isotropic etch scheme may be performed. Fundamentally, CMP obtains higher degrees of planarity because planarity is imposed due to the extreme flatness of the polishing table. In contrast, other planarization schemes rely on the addition of planarizing layers and consequently are highly pattern dependant leading to a lower degree of planarity. While the CMP process is still in its infancy, its promise of global planarity and relative lowcost/low-complexity processing has sparked considerable interest in the process.'37)
2.3
CMP PLANARIZATION
The use of CMP techniques is not unique to planarization. Polishing of glass pieces is a long-established practice in the fabrication of lenses. Indeed, as we shall see in Chapter 5, much of the understanding of glass polishing may be applied to oxide CMP.In the fabrication of ICs, silicon polishing as a final step in the preparation of starting wafers has been in use for several decades. However, CMP used for planarization differs from lens fabrication and wafer preparation in one important respect. In all three applications, a high degree of planarity or flatness is required; however, in CMP, the same degree of flatness must be achieved with far less material removal. In addition, the tolerances for material removal are much tighter for CMP.Wafer thicknesses, for
30
HISTORICAL MOTIVATIONS FOR CMP E
Wafer
Slurry Pad
Figure 2.6
Schematic representation of a wafer polishing tool. (a) Polish table with wafer carrier assembly. (b) Schematic view of wafer-sluny-pad system.
example, may vary by several m i l s while ILD thicknesses must vary less than -100 nm from wafer to wafer. Thus, CMP used for planariation demands much tighter process control. Figure 2.6 shows a schematic representation of a polishing tool. The wafer is pressed against the polishing surface, termed the polishing pad, and the wafer and pad are both rotated. A polishing
23 C M P PLANARIZATION
31
slurry, consisting of fine abrasive particles suspended in an aqueous (normally) medium containing chemical reagents, is dispensed to the center of the pad. Centrifugal force distributes the slurry across the pad forming a thin sheet of liquid on the pad. The combination of mechanical action from the force and velocity applied to the abrasive particles and chemical action from the water and chemical reagents results in material removal from the surface of the wafer. The key to acheiving planarization with CMP is to maintain high removal rates at the high surface features and low removal rates of the low surface features.'38) This difference in removal rates is obtained if the polish pad is rigid and incompressible.'21) The pad exerts force onto the high features, resulting in material removal of the high features. However, because the pad is rigid and incompressible, it does not conform to the wafer surface and therefore does exert force on the low features. Because the etch rate of the surface is generally negligible in the absence of any applied force, the removal rate of the low features is very s m a ~ . ' ~ ~ ) The ability of the CMP process to planarize is measured by the planarization rate given by Equation (2.6).
2.3.1
Advantages of CMP
The primary performance advantage of CMP is that it offers the global planarity required for multilevel, sub-0.5 p metallization. However, there are also several cost advantages to using CMP. The increase in processing complexity required by many planarization schemes increases both cost and defect densities. Alternatively, CMP planarization involves only one step and often reduces or eliminates some defects.") Nonplanarity defects such as metal stringers, which form when the thick metal film at the edge of a step is not completely etched, and poor step coverage are eliminated by global planarization. Because CMP levels the wafer surface, film particles from previous processing can be readily removed. Indeed, companies often find a reduction in defect densities upon implementing C M P processes, in spite of post-CMP cleaning treatments being a relatively undeveloped area.(41)Reduced defect densities translate to increased die yields and decreased die cost.
32
HISTORICAL MOTIVATIONS FOR C"
2.3.2 Disadvantages of CMP Many of the disadvantages of CMP arise from the fact that CMP is a new process which remains unoptimized. As a result of the process immaturity,process windows are narrow, requiring an increased level of wafer metrology to obtain the desired results.(3n Pattern geometry effects (see Section 5.4) result in narrow design windows, increasing the cost of circuit design.(42' In addition, there are several potential new defect modes with CMP. Scratching from the abrasive, residual abrasive particles, stress cracking, delamination at weak interfaces, corrosive attack from the slurry chemicals, and across wafer oxide thickness variations are all possible CMPinduced defects that must be controlled.'"'') Finally, because CMP is a new process, an entire new tool set, including metrology and process control tools, will be required to make CMP more robust. For example, currently no closed loop process control techniques for CMP are used in manufacturing, although first generation system are in development. The promise of global planarity leading to improved performance is likely to make the required investment extremely cost effective.
2.3.3 The Challenge of CMP The integration of CMP into IC processes offers many promising advantages in terms of performance and cost. However, there remain many uncertainties to CMP. While there exist for recipes for CMP, both proprietary and in the open literature, these recipes are arrived at empirically. Lacking is a detailed understanding of the fundamental principles which guide material removal and surface planarization during CMP. Without an understanding of these fundamentals, the process will be difficult to control in high volume manufacturing. Chapter 3 discusses input and output variables that are important to consider when developing and sustaining a CMP process. Because of the large number of variables, it is unlikely that an optimized process will be arrived at empirically. Rather a physical model and an understanding of CMP fundamentals will be required in conjunction with experimental data to obtain optimal
REFERENCES
33
perfonnance. Thus, the present challenge of CMP processing is to develop physical models to explain these processes which are clearly attractive for IC processing. In the following chapters, the mechanical component and the chemical component of CMP processes are investigated with the intent of advancing the understanding of CMP and thereby increasing the usefulness of present CMP recipes and leading to the development of new and more robust manufacturing processes.
REFERENCES 1.
2.
3. 4.
6. 7. 8.
9. 10. 11. 12.
S.R. Wilson, C.J. Tracy, and J.L. Freeman, in Multilevel Metallization for Integrated Circuits, eds. S.R. Wilson, C.J. Tracy, and J.L. Freeman, Noyes Publications, Park Ridge, NJ (1993). H.B.Bakoglu, Circuits, Interconnections and Packaging for VLSI, Addison-Wesley Pub. Co., Reading, MA (1990). A.K. Sinha, J.A. Cooper, and H.J. Levinstein, IEEE Electron Devices Lett. EDL-3, 90 (1982). S.P. Jeng, R.H. Havemann, and M.C. Chang, in Mat. Res. SOC.Symp. Roc. 337, 25 (1994). J. Li, T.E. Seidel, and J.W. Mayer, MRS Bulletin, No. 8, 15 (1994). S. Wolf and R.N. Tauber, Silicon Processing for the VLSI Era, Lattice Press, Sunset Beach, CA (1986). S.M. Sze, Physics of Semiconductor Devices, John Wiley & Sons, Inc., New York (1981). J.D. McBrayer, R.M. Swanson, and T.W. Sigmon, J. Electrochem. SOC.133,1243 (1986). S.P.Murarka, in Tungsten and Other Advanced Metals for VLSI Applications in 1990, eds. G.C. Smith and R. Blumenthal, MRS, Pittsburgh (l99l), p. 179. S.P. Murarka, Metallization Theorv and Practice for VLSI and ULSI,Butterworth-Heinemam, Boston (1993), p. 100. B. Luther, J.F. White, C. Uzoh, T. Carouris, J. Hummel, et al., in Proc. 10th Int. VMIC, VMIC Cat. # 93 ISMIC-102, Univ. of South Florida, Tampa (1993), p. 15.
34
13.
HISTORICAL MOTIVATIONS FOR C M P
H.K. Kang, J.S. Cho, S.S. Wong, IEEE Electron Devices
Lett.,13,448 (1992). 14. 15. 16. 17. 18. 19. 20. 21.
22. 23. 24. 25.
26.
27.
28.
T. Nitta, T. Ohmi, T. Hoshi, S. Sakai, K. Sakaibara, S. Imai, and T. Shibata, J. Electrochem. SOC.140, 1131 (1993). S.Q. Wang, M R S Bulletin, No. 8, 15 (1994). S. Hymes, S.P.Murarka, C. Shepard, and W.A. Lanford, J. Appl. Phys., 71423 (1992). P.J. Ding, W.A. Lanford, S. Hymes, and S.P. Murarka, J. Appl. Phys. 75, 3627 (1994). P.J. Ding, W.A. Lanford, S. Hymes, and S.P.Murarka, J. Appl. Phys. 74, 1331 (1993). W.A. Lanford, P.J. Ding, S, Hymes, S.P. Murarka, in Mat. Res. SOC.Symp. ROC. 337, 169 (1994). J.S.H. Cho, H.Y. Kang, C. Ryu, and S.S. Wong, in Proc. 1993 International Electron Devices Meeting, Washington, DC, IEEE,Piscataway, NJ, (1993) p 265. J.M. Steigerwald, R. Zirpoli, S.P. Murarka, D. Price, and R.J. Gutmann, J. Electrochem. SOC.141,2842 (1994). See, for example, MRS Bulletin XIX, No. 8 (1994). N. Weste and K. Eshraghian, Principals of CMOS Design, Addison-Wesley Pub. Co., Reading, MA (1985). P.L. Pai and C.H. Ting, IEEE Electron Devices Lett. l0, 423 (1989). C.H. Ting, Proc. Topical Research Conference on Low Dielectric Constant Intelayer Dielectrics for High Performance Circuits, SRC, Research Triangle Park, NC (1994). M.E. Thomas and I. Saadat, Topical Research Conference on Low Dielectric Constant Interlayer Dielectrics for High Performance Circuits, SRC, Research Triangle Park, NC (1994). J. Olsen and F. Moghadam, Planarization Techniques, in Multilevel Metallization for Integrated Circuits, eds. S.R. Wilson, C.J. Tracy, and J.L. Freeman, Noyes Publications, Park Ridge, NJ (1993). F. Moghadam, Proc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarization, SRC, Research Triangle Park, NC (1992), proc. vol. #P92008.
REFERENCES
29.
30.
31. 32. 33. 34. 35. 36. 37.
38. 39. 40. 41. 42.
35
S.P. Murarka, J. Steigerwald, and R.J. Gutmann, MRS Bulletin XVIII, 46 (1993). S. Sivaram, K. Monnig, R. Tolles, A. M a w , and R. Leggett, Proc. 3rd Int. Symposium on ULSI Science and Technology, eds J.M. Andrews and G.K. Celler, The Electrochemical Society, Inc., Pennington, NJ (1991), p. 606. J.K. Chu, J.S. Multani, S.K.Mittal, J.T. Orton, R. Jecmen, Proc. IEEE 4th VMIC, Santa Clara, CA, IEEE, New York (1987), p. 61. C. Chiang, N.V. Lam, J.K. Chu, N. Cox, D. Fraser, J. Bozarth, and B. Mumford, Roc. IEEE 3rd VMIC, Santa Clara, CA, IEEE, New York (1986), p. 474. A.C. Adams and C.D. Capio, J. Electrochem. SOC.128,141 (1981). R.H. Wilson and P.A. Piacente, J. Electrochem. SOC.133, 981 (1986). B.C. Feng, U.S.Patent 3,976,524, 1976. G. Grivna and R. Goodner, J. Electrochem. SOC.141,251 (1981). See Roc. SRC Topical Research Conference on ChemMechanical Polishing for Planarization, SRC, Research Triangle Park, NC (1992), proc. vol. #P92008. J.W. Carr, U.S.Patent 4,954,142, 1990. F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie, D.J. Pearsons, and M.B. Small.,J. Electrochem. SOC. 138,3460 (1991). H. Landis, P. Burke, W. Cote, W. HiU, C. Hofffman,C. Kaanta, C. Koburger, W. Lange, and S. Luce, Thin Solid Films, 220, 1 (1992). F.B. Kaufman, private communication. J.M. Steigerwald, R. Zirpoli, S.P.Murarka, D. Price, and R.J. Gutmann, J. Electrochem. SOC.141,2842 (1994).
CHAPTER 3
CMP VARIABLES AND MANIPULATIONS
A brief discussion of the C M P process has been given in Chapter 2. Details of such processes and their applicability for a variety of materials are given in Chapters 4 through 8. The process is quite complicated, involving a large number of variables. This chapter lists and discusses these variables in two categories: output variables and input variables. In Chapter 1 we briefly discussed the input variables, most of which were grouped into three major categories. Table 3.1 lists the output variables that measure the performance and quality of the planarization process. Table 3.2 lists the input variables. It must be noted that many input variables will primarily affect either the chemical or mechanical component. However, there is a danger in assigning a variable as being either strictly a chemical or mechanical variable. The chemical and mechanical components are inseparable, so that variables cannot be
36
3.1 OUTPUT VARIABLES
37
Table 3.1 Output Variables
Pollsh Rate Polish Rate of Underlying Films Planarization Rate Polish Rate Uniformity Feature Size Dependence -- polish rate -- planatization rate -- damage
Surface puallty Roughness Particles Corrosion Resistance Surface Damage smctural Electrical stress
Table 3.2 Input Variables slurry Chemicals
Pad
PH Buffering Agents Oxidizers Complexing Agents Concentration Dielectric Constant Slurry Abrasive Type Size Concentration Isoelecaic point (pH), zeta potential Stability of the Suspension Slurry Flow Rate Transport Under the Wafer Temperature pressure Velocity
Fiber Structure, Height Pore Size Compressibility Elastic and Shear Modulus Hardness Thickness Embossing or Perforations Conditioning Aging Effects Chemical Durability/Reacthity Wafer Curvature Wafer Mounting Film stack Film Stress Film Hardness C*P Work Hardening, Fatigue Film Microstructure Wafer Cleaning Sequence Wafer Size
Pad Wafer Frictional Forces/Lubrication Pattern Geometries Feature Size Pattern Density
listed as affecting only the mechanical component or only the chemical component. For example, velocity and pressure can be thought of as primarily mechanical variables. However, changing the velocity and/or pressure will affect slurry transport across the
38
CMP VARIABLES A N D MANIPULATIONS
wafer and also the thickness of the fluid layer between the pad and wafer. Slurry transport and fluid layer thickness will then affect the diffusion of chemical reactants and products to and from the wafer surface, which in turn affects reaction rates. Pressure may affect the abrasive size and shape, pad performance, the film stack, and the effect of preexisting wafer curvature. This chapter examines these variables and how the variable is expected to influence the CMP process and the final result.
3.1
OUTPUT VARIABLES
Polish Rate: Units of (nm/min) or (run/min). The polish rate is the film thickness removed divided by the polish time. Higher polish rates lead to shorter process times and are thus desirable. However, if the polish rate is too high, the process is difficult to control. Note that the polish rate can be si@icantly higher for wafers with topography than for unpatterned wafers. This is because the contact area with pad is smaller for wafers with topography. Polish Rate of Underlying Films: The ratio of the polish rate of the f i to the polish rate of an underlying film is the selectivity. In metal polishing a low ILD polish rate (or high selectivity) is desirable to prevent ILD thinning during the overpolish step. A high selectivity to a liner film (a thin film between the metal and the ILD used to promote adhesion or prevent diffusion of the metal into the ILD) may offset a low selectivity to the ILD. However, the most desirable scenario is high selectivity to the ILD and a low selectivity to the liner film. In this way, the liner film may be removed during the main CMP step without the need for a subsequent liner removal step. Pfanurization Rate: Planarization rate is the time it takes to reduce the topography of the wafer surface to the desired level. Several metrics of planarity are reviewed in Section 2.2. In the CMP of oxide and other ILDs, because the end goal is surface planarization not simply material removal, the planarization rate is as important a metric as polish rate.
3.1 OUTPUT VARIABLES
39
Feature Size Dependence: In planarizing the metal overlayer deposited on the ILD patterned for the vias and trenches (or vice versa), a patterned dependence of the CMP has been noted.") The polish rate, planarization rate and ability, and the damage to the surface and edges of features are affected. In optimizing the process for delineating structures, e.g., for Cu interconnection schemes or W via fill or for dielectric planarization after A1 patterning and dielectric deposition, feature size dependencies must be measured and minimized. Note the feature size dependencies arise due to several input variables. The pad's viscoelasticity and compressibility, platten speed, load, abrasive size and concentration, and wafer curvature are the important variables to affect the feature size dependencies. (Also see below a discussion on pattern geometries as input variable.) Surface Quality: Surface quality is an indication of the expected yield and reliability of the interconnections. A rough ILD film is more susceptible to low breakdown strength and high leakage. A rough metal film is more susceptible to corrosion and electromigation. Roughness is minimized by properly balancing the chemical and mechanical components of the CMP process. High particulate densities lower die yields. Particle densities may be reduced by using an effective post-polish clean sequence and by choice of slurry constituents. A high degree of corrosion resistance of metal films is required to ensure reliability. High corrosion resistance is ensured by forming a passivating film on the metal during or immediately after the CMP step. Surface Damage: Damage may occur to ILD and metal films during CMP which reduce the yield and reliability of the interconnections. Structural damage includes scratches, delamination of film interfaces, introduction of impurities into the f i i , and changes in the chemical or physical structure of the f i i . Electrical damage results from structural damage, and in ILDs includes low breakdown strength, high leakage currents, and poor CV behavior; such effects are also a result of impurities. In metal films,concerns include a decrease in the electromigration resistance of the filmas a result of CMP, or degradation in the barrier films may lead to the introduction of metal impurities into the substrate or ILD.In aspolished films stress seems to increase (see Chapters 4 and 7) and
40
CMP VARIAJ3LES AND MANIPULATIONS
should be monitored since stress would affect reliability of the interconnections and ILDs.
3.2
INPUTVARIABLES
Slurry Chemicals: A large variety of materials (metals, alloys, insulators, semiconductors, etc.) are being polished. Each has a diffemt chemistry as far as chemical interactions with the slurry is concerned. Slurry chemicals affect primarily the chemical component, e.g., etch rate. However, chemical reactions modify the mechanical properties of the film, pad, and abrasive surfaces, which in turn affects the mechanical component. pH: pH is defined as the negative logarithm of the hydrogen ion concentration:
pH affects the dissolution rate and the solubility of the surface being polished or of the abraded material removed, the formation of surface films on materials being polished, and the stability of the abrasive suspension and the effectiveness of the abrasive. Certain pad materials may be affected in certain ranges of pH of the slurry. Adhesive bonding between the platten and the pad may also be affected in certain ranges of pH. Buffering Agents: Buffering agents are used to keep the pH constant throughout the slurry volume and over time. Without buffering agents, the pH may be dramatically different at the wafer surface if surface reactions consume or produce H+ions. Oxidizers: For metal CMP, most of the chemical reactions are electrochemical in nature. Oxidizers react with metal surfaces to raise the oxidation state of the metal via a reduction-oxidation reaction, resulting in either dissolution of the metal or the formation of a surface f i i on the metal. For both tungsten and copper, polish rate has been shown to be proportional to the rate of these reduction-oxidation reactions (see Chapters 6 and 7). Complexing Agents: Complexing agents increase the solubility of the film being polished or the abraded film material,
3.2 INPUTVARIABLES
41
and hence increase the polish rate. An important balance between the mechanical removal rate from the surface being polished and the dissolution rate in the slurry can be achieved by the use of such agents, avoiding too little dissolution, leading to a redeposition on surface, and too much dissolution, leading to isotropy of material removal and thus lack of planarhation. Concentration of Chemical Species: Units of (molesPiter). Reaction rates are determined by both the concentrations of the reactants and the products of a reaction. Slurry chemicals either supply reactants or remove products, and hence as the concentration of the slurry chemicals increases, the reaction rates increase. Note, however, that the overall CMP process is composed of several steps and several different reactions. For any given set of process conditions, one of these steps will be limiting the total CMP removal rate. If an individual reaction is not part of this rate limiting step, the polish rate will be unaffected by changing the reaction rate. Only the reactions that are part of the rate limiting step will affect the polish rate. Viscosity: Units of poise ( 4 . 1 Pascal sec). Viscosity affects how easily the slurry flows. The more viscous a material, the more it resists flow. High slurry viscosity results in poor transport of reactants and products to and fiom the wafer surface. Viscosity also affects slurry transport across the wafer and lubrication of the wafer-pad interface. Dielectric Constant: Magnitudes of all electrostatic interactions in a medium are determined by the dielectric constant of that medium. Thus all charge-related parameters (e.g., zeta potential, isoelectric point, electrochemical forces.) are affected by the dielectric constant (see Chapters 4-7). Slurry Abrasive: The slurry abrasive provides the mechanical action of CMP. Size and concentration slurry have a different effect on mechanical abrasion. However, the abrasive can also have a chemical effect as in the case of glass polishing with ceria abrasive where the ceria forms a chemical bond with the glass surface or in the case of alumina, which seems to create surface defects on SiO, f i i polished in pH, in the range of 5 to 8. Abrasive Type: Silica (SiOJ is most often used for oxide polishing while alumina (Al2O3) and silica are used for metal
42
CMP VARIABLES AND MANIPULATIONS
polishing. &ria (CeOJ, titania (TiOJ, magnesium oxide (MgO), zirconia (ZrOd, rouge (Fe,O,), hafnia (HfOd are also candidates for polishing compounds (see Chapter 4). Abrasive Size: Units of (nm).Abrasive size affects removal rate and surface damage. In addition the distribution of abrasive size has a dramatic affect on surface damage. Cook suggests that monodispersion in abrasive size leads to super polishing or extremely smooth surfaces. Poor control of abrasive size distribution leads to increased scratching. Abrasive Hardness: The hardness determines the effectiveness of abrasion -- the higher the hardness, the greater the amount of abrasion. However, harder abrasives tend to cause more surface damage. The hardness of common abrasives is listed in Table 3.3. Abrasive Concentration: Units of weight percent (wt% in liquid volume). The concentration of abrasive affects the number of “cutting tools” at the surface. Generally, higher abrasive concentrations leads to higher polish rates. Isoelectric Point (pH): The isoelectric point (EP)is defmed as the pH at which the abrasive surface is charge neutral. The role of IEP in determining CMP behavior of glasses has been documented several times in the past (see Chapter 4). The importance of this concept in polishing metals needs to be established, possibly assuming that the metal surface is passivated to form an insulating layer on the surface, which is then removed by abrasion. Stability of the Abrasive Suspension: Abrasives in suspension may tend to agglomerate to form larger particles. The stability of the suspension indicates how long the agglomerated particles may remain suspended before settling out of the suspension. Good stability indicates minimal agglomeration and a uniform particle distribution. Uniform particle distributions are desirable to minimize surface damage as discussed above. Slurry Flow Rate: Units of (liters/&) or (Wmin).The rate at which slurry is delivered to the center of the pad. Slurry flow rate affects how quickly new chemicals and abrasives are delivered to the pad and reaction by-products and used abrasive are removed from the pad. The slurry flow rate also affects how much slurry in on the pad and therefore will affect the lubrication properties of the system.
3.2 INPUTVARIABLES
43
Table 3 3 Hardness of Various Abrasives
Abrasive
Hardness (Mho's Scale) 9 8 3.5-7 2.5-3.5
__3.5-4 10
5.5 9.5 6-7
9 5.56.5 6.5
Temperature: Units of ("C).Because CMP is in part a wear process, temperature increases are to be expected. Temperature can also be controlled to some extent by maintaining the temperature of the polish table with recirculating water or by heating the slurry and measuring the temperature at the pad. The primary effect of temperature is on reaction rates. However, dramatic changes in the temperature of the surface will affect the mechanical properties of the fii. Pressure: Units of (kPa) or (psi). The pressure is the load applied to the wafer divided by the wafer area. Note that if the surface is rough or has topography, the contact area is less than the geometric area, and hence the pressure is increased until such time as the surface is made smooth. Mechanical abrasion rate is proportional to pressure.@) Pressure also affects planarization. Pad Velocity: Units of rotations per minute (rpm) or (cdsec). If the wafer is rotated off the axis of the pad (as shown in Figure 2.6a), which is common, the pad velocity is also the average relative velocity of the pad with respect to the wafer. Mechanical abrasion rate is also proportional to velocity.'2' Velocity also affects slurry transport across the wafer and the
44
C M P VARIABLES AM) MANIPULATIONS
transport of the reactants and products of chemical reactions to and from the wafer surface. Wafer Velocity: Units of rotations per minute (rpm) or (cdsec). The velocity of the wafer affects the average velocity across the wafer. If the pad and wafer rotational velocities match, the average velocity is the same at every point on the wafer.(3) Frictional Forces and Lubrication: Frictional forces are a measure of how much contact the pad makes with the surface, which is important in determining the abrasion mode. Friction and lubrication also affect the amount of heat that is produced during polishing and thus affect the temperature. Pattern Geometries: Feature size and pattern density affect localized pressure distribution and therefore affect the removal rate at the feature scale.'') Small features polish quicker than large features, and small pattern densities polish faster than large pattern densities. Feature size and pattern density thus affect planarization rates in ILD polishing and metal dishing and ILD erosion in metal polishing. Polish Pad The polish pad affects virtually all of the above listed output variables and interacts with most of the input variables. Because of the importance of the polishing pad, Section 4.5 is dedicated to the pad. Pad Fiber ShucturelHeight: The pad fibers extending from the surface are the portion of the pad that interacts with the surface being polished. The fiber structure and height affect the transport of slurry and reaction products and the local pressure gradients at the surface. Pore Size: The pad fibers contain micropores. These pores are suspected to be instrumental in the transport of slurry and reaction by-products such that the larger the pore size, the more efficient the transport. However, large pore size may also affect the mechanical properties of the pad. Pad Compressibility: Units of (96). Pad compressibility affects how the pad conforms to the wafer surface. For planarization, the pad should not contact the low regions and thus should not be conformal, hence low compressibility is desirable for planarization. To obtain good across wafer uniformity in the polish rate, however, the pad must contact the uniformly across the wafer
3.2 INPUTVARIABLES
45
and therefore must be conformal on a long-range scale. To balance between planarity and uniformity, a bilayer pad system is often used. A hard top pad provides good planarity, while a more compressible bottom pad provide long-range conformality and better uniformity. Pad Elastic and Shear Modulus: Units of (MPa) or (psi). These moduli determine the mechanical stability and flexibility of pads during polishing under the load and rotational constraints. The pad’s viscoelastic behavior is important in determining the planarization effectiveness and in the feature size effect observed during CMP. Pad Hardness: Hardness, measured in relative units based on the type and mode of the indentation, is generally a measure of the ability of the pad to maintain its shape, and thus it is linked to elastic and viscoelastic properties. Harder pads are expected to provide better planarity. Softer pads, on the other hand, may provide better (defect-free) surfaces. Whereas it is difficult to measure the hardness of the pads, the viscoelastic behaviors can be measured to provide the necessary information. or (mils). Pad thickness is Pad Thickness: Units of (p) related to compressibility. The thicker the pad, the more the pad compresses to conform to the surface. Pad thickness must be balanced to obtain optimum planarity and uniformity, as discussed above. Since pad conditioning (see Chapter 4) has become essential, the choice of pad thichess, with pad conditioning and life in mind, becomes an important COO issue. Pad Embossing or Persorations: Embossing is groves pressed into the pad surface usually in a mesh pattern. Perforations are holes cut into the pad. Embossing and perforations are made to improve slurry transport across the wafer. Pad Conditioning: Pad conditioning techniques improve and stabilize performance. Section 4.5.2 is dedicated to pad conditioning. Chemical Durability and Reactivity of the Pad The pad must be chemically stable in the slurry solution and must not react with the slurry, cleaning solutions, or the film being polished. Wqfer Curvature: Wafer curvature affects the distribution the applied load across the wafer. If a wafer is bowed up in the
46
CMP VARIABLES A N D MANIPULATIONS
center, for example, more of the applied load is distributed to the center of the wafer, and therefore the pressure is greater in the center. This also contributes to feature size dependence that is variable across the wafer. W a f r Mounting: The wafer should be mounted parallel to the pad surface. Propex wafer mounting design may account for wafer curvature or wafer thickness variations. Wafer mounting may also affect slurry transport. Film Stack Generally films of several types are deposited over one another. Polishing of the top layer may be influenced by the mechenical characteristics of the underlying stack. This will be especially true for polymer dielectrics that usually yield under load or cyclic forces. Film Stress: Units of (MPa) or (psi). Compressive film stress leads to increased dissolution rates, while tensile stress leads to decreased dissolution rates.'4) Consequently, stress gradients across the wafer affect polish rate uniformity, while patterndependent stress gradients can affect planarization. Film Hardness: Polish rate is inversely proportional to the hardness of the surface of the film being poli~hed.'~.~) Mechanical damage on the film surface is also related to film hardness. Creep and work hardening of the film during CMP will alter the hardness from values measured prior to CMP. Film Microstructure: Microstructure provides a surface (to be polished) composed of differently oriented surfaces with different chemical and mechanical behaviors and grain boundaries that are prone to enhanced chemical activity. Thus a relationship between the film microstructure and planarization should be carefully monitored. Wafer Cleaning Techniques: Wafer cleaning affects postpolish particle and contamination levels, and therefore affects yield and reliability. Development of a CMP process must include the post-polish clean sequence to optimize yield and reliability. Wafer Size: The diameter of the wafer being polished will play a very significant role not only in determining the force, relative velocity on different areas of the wafer, but also the feed rate of the slurry and integrity of the abrasives under the wafer. A
REFERENCES
47
CMP process for large size wafers will thus face the significant problem of the uniform supply of slurry under the wafer.
REFERENCES 1. 2. 3. 4. 5.
6.
J.M. Steigerwald, R. Zirpoli, S.P. Murarka, D.Price, and R.J. Gutmann, J. Electrochem. SOC.,141,2842 (1994). F. Preston, J. SOC.Glass Tech. 11,214 (1927). W.J. Patrick, W.L. Guthrie, C.L. Standley, P.M. Schiable, J. Electrochem. Soc.,138, 1778 (1991). L.M. Cook, J. Non-cryst. Solids 120,152 (1990). T. Izumitani, in Treatise on Materials Science and Technology, eds M. Tomozawa and R. Doremus, Academic Press, New York (1979), p. 115. C.-W. Liu, B.-T. Dai, and C.-F.Yeh, J. Electrochem. SOC. 142, 3098 (1995).
CHAPTER 4
MECHANICAL AND ELECTROCHEMICAL CONCEPTS FOR CMP
In this chapter, we present mechanical and chemical concepts that are important in understanding the fundamentals of CMP. The concepts are introduced before the specific CMP processes (i.e., those for the CMP the oxide, tungsten, aluminum, copper, etc.). These concepts are broadly applicable to all types of CMP. The Preston equation is an often quoted relationship that gives the polish rate dependence on pressure and velocity, two important CMP variables. Fluid layer and boundary layers are important in determining the chemical and mechanical interactions between the pad-slurry-wafer system. Abrasion and abrasives are key to achieving the planarization and the polishing pad is an integral part of any CMP process. Chemistry and electrochemical phenomena also play significant roles in CMP. These two are also discussed in this chapter. Finally, understanding the role of abrasives and the polishing pad in removal and planarization, which 48
4.1 PRESTON EQUATION
49
is key to the success of any C M P process, is discussed. The concepts introduced in this chapter will be important in understanding subsequent chapters. 4.1
PRESTON EQUATION
The most frequently referenced expression for polish rate is the Preston equation which was first arrived at empirically by Preston‘’) and later developed analytically by Brown et aLC2)The Preston equation states:
where AH is the change in height of the surface, At is the elapsed time, P is the pressure, &/At is the linear velocity of the pad relative to the work piece, and Kp is the Preston coefficient. According to Fquation (4.l), the polish rate is directly proportional to both the velocity of the pad and the pressure, and the polish rate is zero in the absence of either pressure or velocity. It should be noted that P = L/A, where L is the applied load and A is the area of the polish surface contacting the pad, which is not necessarily the geometric area. If the surface has significant topography, as is the case with a patterned sample or a rough surface, the pad will contact the high areas of the surface only, and the contact area A will be less than the geometric area. Planarization occurs because material is removed from only the high areas where the pad contacts the surface. The contact area remains less than the geometric area until the surface is smooth and planar, at which time all of the surface contacts the pad. Effectively, the pressure, and hence the polish rate, decrease as the surface approaches planarity. From our research and the work reported by others, the Preston equation is reasonably accurate for Si0,,’3) C!d4) and d3CMP,although the dependence of Kpon process variables, such as slurry composition and pad properties, is not well understood.
50
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Considering only the mechanical properties of the film being polished, Brown et al.'2) give an expression for the Preston coefficient: 1 Kp = 2E
(4.2)
where E is Young's modulus of the surface being polished. In addition, the penetration depth of the abrasive particle-is given by (4.3)
where d is the diameter of the abrasive particle and c is the particle fill factor at the surface equal to unity for fully filled close packing. It is interesting to note the degree to which the abrasive particle penetrates the surface. Copper for example, has a Young's modulus, E, equal to 1.30~10"Pa.'@ For an applied pressure of 15 kPa, an abrasive size of 0.3 pm and a fill factor 0.5, the indentation depth from Equation (4.3) is only 3.3~10-~pm, a very small number indeed. However, because of the small contact area, the localized pressure exerted by an individual abrasive particle is considerably higher than the average pressure across the entire surface. The contact area is A,
=
xr,2
=
x(d8 - 8')
(4.4)
Assuming that the particle density c is equal to 1, the relationship between the average pressure across the surface, P,,, and the localized pressure (shown schematically in Figure 4.1) is given by
Combining Equations (4.3) and (4.5) gives 6 = 0.10 nm and P,,,, = 2.3 MPa. An indentation depth of 0.1 nm is more in line with observed surface roughness.
4.2 FLUID LAYER INTERACTIONS
51
(b) A, and A, are areas of circles with diameters as shown
Figure 4.1
4.2
(a) Close-packed arrangement of particles at surface, c = 1. (b) Contact area, A, and the surface area occupied by Single abmsive particle A , Note that the indentation depth, 6, is exaggerated. (c) Calculation of the contact radius, r,, from the indentation depth.
FLUID LAYER INTERACTIONS
In the presence of a lubricating liquid (i.e., the polish slurry) two solid bodies in relative sliding motion will interact in one of
52
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
three ways. The solid bodies may (1) be in direct contact, (2) be in semidirect contact, or (3) may hydroplane against each other. These three scenarios are investigated by Runnels and Eymanm in their study of the tribology of the pad-slurry-wafer interface during
CMP. A wafer scale CMP process will fall into one of the three categories listed above. In the first case, the load is supported almost entirely via pad wafer contact. In the second case the load is supported partially by pad-wafer contact and partially by hydrodynamic pressure on the slurry between the wafer and pad. In the final case, the load is supported entirely by a continuous fluid layer of slurry between the wafer and pad. As discussed by Preston (Section 4.1), polish rate is proportional to pressure. Because each of these modes is likely to distribute pressure differently, the ability of a CMP process to remove material and to planarize will be affected by which mode a given CMP process operates within. In the extreme case where the load is supported entirely by solid-solid contact, frictional wear will be at a maximum.However, the transport of slurry under the wafer will be poor, resulting in a limited amount of chemical activity and little lubrication effect. Under such conditions elevated temperatures would be expected and mechanical abrasion would dominate. As a consequence, the polished surface is likely to be severely damaged. The existence of a fluid layer is beneficial for two reasons. First the fluid layer will act as a lubricating agent and conduct heat away from the surface. Second, slurry transport is expected to be more efficient with a fluid layer. It is expected that CMP does indeed involve a fluid layer that is either continuous or partial. It is not clear, however, if the fluid layer is partial or continuous. In the remainder of this section, we discuss the results of a tribological analysis by Runnels and Eyman, while in Section 4.4.2 we shall discuss the implications of partial or continuous fluid layers on the mode of abrasion. Runnels and Eyman consider the wafer, with some finite curvature, to be held by a carrier which is mounted via a gimbal mechanism as shown in Figure 4.2.O The wafer then glides at an angle of attack, 8, upon a slurry fluid layer of thickness h. Runnels
4 3 F'LUDI LAYER INTERACTIONS
I
53
I
Wafer curvature exaggerated
Figure 4.2
Schematic representation of the wafer used by Runnels and Eyman for triblogy modeling. (From Ref. (7))
and Eyman model the problem using fluid mechanics to determine the fluid layer thickness for a given set of conditions. The tribological analysis is beyond the scope of this text, the reader is referred to the article by Runnels and Eyman (Reference (7)) for infomtion on the analysis. However, it is important to note that two assumptions are made: (1) the surface of both the wafer and pad are smooth, and (2) the slurry behaves as a Newtonian liquid. These assumptions are necessary to reduce the scale of the problem. However, because of these assumptions, the analysis results are only qualitatively valid and as such only predict trends, not absolute fluid layer thicknesses. Considering a baseline CMP process, Runnels and Eyman determine fluid layer thickness as a function of velocity, wafer curvature, and slurry viscosity. The baseline process is as follows: velocity = 20 rpm (63 c d s e c ) , pressure = 50 kPa (7 psi), slurry viscosity = 0.0214 kg dsw, and wafer diameter = 20 cm. The wafer curvature (assumed to have a negative radius) is given in terms of the difference in height between the center and the edge of the wafer (dome height) and is assumed to be 10 p. For the baseline process,model results yield h=63 pm and 84.01'. Figures
54
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
100
95
-
90-
-
85
60
20
I
25
I
30
35
40
45
50
Rotation Speed (RPM)
Figore 4.3
Fluid layer thickness as a function of velocity. (FromRef. (7)).
._ -k C u)
E
v1
E
E
Y
I-
Dome Height (microns)
Figure 4.4
Fluid layer thickness as a function of wafer curvature. (From Ref. (7)).
5.01 0.015 0.02 0.025 0.03 0.035 0.04 0.045
Viscosity (kg mvs)
Figure 4.5
Fluid layer thickness as a function of slurry velocity. (From Ref. (7)).
4.3!n 4.4,O and 4.5") give the fluid layer thickness as functions of velocity, wafer curvature, and slurry viscosity. Slurry fluid layer thickness is important because it will determine if the load is supported by a combination of pad-wafer contact and fluid layer or entirely by the fluid layer. If the fluid
43
BOUNDARY LAYER INTERACTIONS
55
layer thickness is much greater than the pad roughness, the layer can be assumed to be continuous and therefore entirely supporting the load. If the fluid layer thickness is approximately the same as the pad surface roughness, than some pad-wafer contact can be assumed. Yu et al.@)have measured the surface roughness of a commercial urethane polishing pad (the specific pad is not disclosed). The peak-to-peak variation in surface heights is greater than 100 p. Thus, for the fluid layer thicknesses given in Figures 4.3-4.5, partial fluid layers are expected. However, it should be noted that the present model does not predict this regime well because the model assumes the pad is smooth. As a result of the model assumptions, only a qualitative analysis is valid. Thus, while the shape of the curves in Figures 4.3-4.5 are valid, the scales may be shifted. However, it is important to recognize that changes in pad roughness, wafer curvature, velocity, or slurry viscosity, may result in a change from partial to continuous fluid layer modes. This is particularly important because wafer curvature is often poorly controlled and pad roughness is a poorly understood function of pad conditioning (Section 4.5.2). Because fluid layer continuity has important implications as to the abrasion mode (see Section 4.4.2), if a process varies from one mode to the next as a result of pad conditioning or wafer curvature variations, CMP performance is likely to vary significantly. Thus, it is prudent to ensure that CMP processes do not operate on the border between continuous and partial fluid layers. 4.3
BOUNDARY LAYER INTERACTIONS
This section discusses boundary layers that exist between the wafer surface and the bulk slurry. CMP involves chemical reactions between the wafer surface and the slurry. In order for the reactions to continue, new reactants must be transported to the wafer surface and old products must be transported away from the wafer surface. Boundary layers are an important consideration in CMJ? processes, because boundary layers act as diffusion barriers to the reactants and products of these chemical reactions. As a
56
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
result, boundary layers limit the rate of chemical activity between the slurry and the surface being polished. As we shall see, one of the functions of CMP is to break up these boundary layers to allow transport to occur rapidly and thereby accelerate the chemical reaction. There are three possible types of boundary layers involved in CMP, as shown in Figure 4.6. The first boundary layer is a stagnant fluid layer that occurs as the slurry fluid passes over the wafer surface. This layer is analogous to the boundary layer that occurs in chemical vapor deposition (CVD) processes. "he second boundary layer is the double layer that forms at the surface as a result of electsical charge that accumulates at the surface. The third boundary layer is a surface hlm that forms as a result of chemical modification of the wafer surface. A discussion of each of these boundary layers follows.
4.3.1 Fluid Boundary Layer When a moving fluid is in contact with a solid surface, the fluid dynamics shows that the frictional forces of the solid on the moving medium causes the flow velocity to be zero at the fluidsolid interface. The flow velocity reaches a maximum at some distance away from the solid surface, in the moving medium. The region over which the velocity differs from maximum is called the boundary (or stagnant) layer, shown as 6(x) in Figure 4.7. A graphic representation of the concentration and fluid velocity field is shown in this figure. The distance away from the surface at which 99% of the maximumvelocity is achieved is usually referred to as the layer thickness 6. The justification of this definition arises from the fact that the bulk of the fluid (in our case a slurry with a chemical reactant that either reacts with the surface, such as passivating the W surface, or weakening the Si-0 bonds on the SiO, surface, or dissolv the mechanically loosened material at the surface) moving with maximum velocity is constantly supplied with fresh reactants from the feed source. Thus we make the approximation that the reactant concentration is at its maximum up to the edge of the boundary layer. This concentration declines monotonically until the reaction surface is reached. At this surface, reactant
--
4.3 BOUNDARY LAYER INTERACTIONS
2 _
-
57
Fluid boundry layer
Solvated
///////////////////////////////////////
-
Surface film on metal
Surface
Figure 4.6
Boundary layers involved in CMP. U
Figure 4.7
U
U
U
Schematic repsentation of the ConceptuaI variation in the thickness of the transition layers as a function of the position along the reacting surface.
molecules are continuously depleted and the minimum reactant concentration is reached. A mathematical model of this concept of stagnant layer leads to an expression for the position-dependent boundary layer thickness I
where p, p, and U are the fluid viscosity, fluid density, and the maximum velocity of the fluid, respectively; and x is the distance along the direction of the fluid flow on the surface, as shown in Figure 4.7. The average boundary layer thickness 6 is obtained by integrating over x and dividing by the substrate length, L.
58
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Note that 6 is directly proportional to L’” and p’” and inversely proportional to p’” and U’”.These dependencies of 6 clearly emphasize the role of substrate diameter and slurry viscosity, density, and velocity. It is also noted that these concepts apply in the absence of the abrasive particles which can penetrate the boundary layer and change the above formulaism. Abrasive-slurry fluid interface, on the other hand, will also suffer fkom similar boundary layer issues. Applied pressure, usually transmiaed to the substrate or pad via the abrasive particles that separate the two, will also affect the boundary layer stability. The concept of the boundary layer is, however, useful in exploring the effect of the fluid viscosity, density, and velocity, not only during CMP but also during subsequent cleaning of the polished surface.
4.33 Double Layer Double layers form at the surface of metals and insulators as a result of charges that accumulate on the surface. For metals, electrons accumulate at the surface by virtue of the fact that the electrons in a metal are more mobile than the positively charged ion core. While the origin of this surface charge requires quantum mechanics (see Reference (9)), the problem may be thought of classically as follows. Consider the surface of the metal to be the edge of the crystal lattice. Because the electrons are more mobile than the metal ion cores, they are free to move beyond the surface, extending past the outermost metal ions. This phenomenon is represented in Figure 4.8.‘’) Beyond the surface, an excess of electrons results in a negative charge, while just beneath the surface, a layer of net positive charge exists. Note that the electron density has a waveshape by virtue of the quantum mechanical nature of the problem.
43 BOUNDARY LAYER INTERACTIONS
59
Distance (Fermi Wavelengths)
Figure 4.8
The negative and positive charge densities at a meta. surface. Because the valence electrons are relatively free, they extend farher from the surface than the ion core and therefore the surface shows a negative charge. (From Ref. (9)).
For insulators and metals, charges accumulate at the surface as a result of adsorbed charge species. For instance, at the SiO, surface, dangling Si-0- bonds are passivated forming either the charged species Si-OH,' or Si-0- or the neutral species Si-OH. Equilibrium between these species is given by:(3) Si -OH
Si -OH
Si-0-
(4.8)
Equilibrium of these species is discussed in greater detail in Chapter 5. However, at low pH, equilibrium shifts to the left and a positive charge develops on the surface, while at high pH equilibrium shifts to the right and a negative charge develops on the surface. At a pH of 9.8, the net surface charge is Note that metal surfaces may be similarly passivated by MeOH; or M0-or other charged species, resulting in surface charges. A consequence of the charges at the surface is the formation of a double layer. The surface charge attracts polar water molecules, forming a layer across the surface as shown in Figure 4.9.'"' In addition, ions in solution are normally solvated (i.e., they also attract polar water molecules). As a result a charged ion in solution is inhibited from approaching the surface by a double layer equha-
60
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Inner layer of polar water molecules 7
II
cations
&Outer
Figure 4.9
Helmholtz plane
The surface charge on metal attracts polar water molecules forming a double layer. (From Ref. (lo)), adapted by pemission of Prentice-Hall, Inc.).
lent to the thickness of two water molecules. A neutral (nonsolvated) species in solution must cross a layer only one water molecule thick. In addition to this double layer, ions of like charge will be repelled from the surface, while ions with the opposite charge will be attracted to the surface. Thus, the double layer formation will affect how easily reactants can approach the surface and products can move away from the surface.
4.33 Metal Surface Films The final type of boundary layer results from chemical reactions of metal surfaces with the slurry chemicals. During metal CMP,the slurry chemicals react with the metal surface and form either solid or ionic products or both.'") Such reactions are considered corrosion reactions. Formation of solid species occurs on the metal surface, forming a surface layer which, to varying de-
4.3 BOUNDARY LAYER INTERACTIONS
61
grees, acts as a barrier to diffusion of chemical reactants and
products. Formation of an ionic species is a dissolution or etching reaction. Different surface filmsaffect the diffusion of reactants and products differently however. As shall be discussed in Chapter 6, tungsten slurries are tailored to form WO, on the tungsten surface during CMP."" WO, is a dense, nonporous film and therefore a very good barrier to the diffusion of chemicals. WO, almost completely shuts off the chemical reaction between the tungsten surface and the slurry. For this reason, WO, is considered a passivating film for tungsten (i.e., it passivates the surface against corrosion). M203passivates aluminum in a similar manner."3) Some metal surface films, such as Cu,O, do not act as passivating films. -0 is a relatively porous and less dense film that allows the diffusion of chemical rea~tants."~' As a result, copper tends to corrode more readily than either tungsten or aluminum. Even though -0 does not completely passivate the copper surface, it still acts as a diffusion barrier, significantly slowing the corrosion of copper. Copper corrosion is rapid in solutions where surface films are absent (- 1 lun/min in 5 wt% €€NO,) while corrosion is relatively slow in passivating regimes where C q O or CuO form (- 1nrn/min in 1vol% NH40H). Section 4.6.1 discusses the electrochemistry of surface film formation. 4.3.4
Mechanical Abrasion
One of the functions of mechanical abrasion is to break up these boundary layers and assist in the transport of reactants to and products from the surface. During polishing, abrasive particles penetrate through the boundary layers bringing fresh chemical reactants to the surface. Chemical reactants may be adsorbed onto the abrasive or simply be able to diffuse to the surface more readily by virtue of the disruption of the boundary layers. In addition, reaction products can adsorb onto the abrasive particle to be transported from the surface with the particle (see, for example, Section 5.1.3). By assisting in the transport of reactants and products, abrasion serves to accelerate the chemical component of CMP as well as providing the mechanical component.
62
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Note, however, that for a given point on the surface, abrasion is not a continuous process, but rather occurs as discrete events. Because the pad has some surface roughness (on the order of 10-100 pm peak-to-peak)the pad is not in continuous contact with each point on the wafer. This concept w i l l become more evident in the discussion of Section 4.5.1. In between the reformation of the abrasion events, the boundary layers reform. Between the time of the abrasion event and boundary layers, however, the surface is left highly exposed and reacts quickly with the slurry chemicals. As we shall see, surface reactions between abrasion events can have a strong influence on the CMP process, particularly in the case of tungsten CMP. 4.4
ABRASION MODES
This section discusses the various modes of abrasion that may occur with CMP processes. First a comparison between polishing and grinding is made in order to make the reader aware of other abrasion modes besides polishing (i.e., grinding) and to give an idea of the scale of material removal during CMP. In addition, some of the concepts developed in Section 4.4.1 will be used in Chapter 7 to show that the CMP of copper may involve a combination of polishing and grinding modes of abrasion. Section 4.4.2 further separates the polishing mode of abrasion into two submodes, that of Hertzian indentation based wear and fluid-based wear. The difference between these two polishing modes is the nature of the slurry fluid layer between the pad and the wafer. This area of CMP is still poorly understood, yet has important implications as to the removal mechanisms of CMP. 4.4.1
Polishing vs. Grinding
To understand the level at which material is abraded during CMP, it is instructive to compare polishing to grinding.''4' The main difference between grinding and polishing is the abrasive size. Grinding normally employs abrasives on the order of 1-100 pn in diameter, whereas polishing normally employs abrasive on the
4.4 ABRASION MODE
63
order of 10-300 nm in diameter."% In addition, grinding may be broken down into two categories, brittle grinding and ductile grinding. Ductile grinding, alternatively termed microgrinding, occurs with abrasive sizes on the lower end of the range, 0.75-3.0 pm in diameter, whereas brittle grinding occurs with larger abrasives.('s) Note that the transition betwen abrasion modes depends not only on abrasive size, but also slurry chemistry and the mechanical properties of the film. The consequence of changing the abrasion mode is to change the scale at which material is removed from the surface.(") Material removal during brittle grinding occurs via crack propagation and brittle fracture; and the abraded material is on the order of microns in size. During ductile grinding, material removal is the result of crack initiation and the abraded material is on the order of nanometers in size. An additional feature of ductile grinding is that the surface experiences plastic flow and densification.(") During polishing removal is the result of bond severing on the atomic or molecular scale which is assisted by chemical reactions. Material removal during polishing is on the order of atoms and molecules or clusters of atoms and molecules. The three modes of abrasion are summarized in Table 4.1.(14) Because material is removed in larger chunks with grinding, higher removal rates are achieved. However, brittle grinding produces subsurface damage which is undesirable in electronic application^.('^) Lens crafters polish their lenses to remove this damage after shaping the lens by grinding. However, the damage extends deep into the thickness of the thin metal or dielectric films used in electronic applications. Ductile grinding produces less subsurface damage. Because it involves plastic deformation of the surface, ductile grinding produces a compressive surface stress in the film while such a stress is not produced in brittle grinding or polishing."% For metal films,a compressive stress may be beneficial because stress migration and electromigration are inhibited in films under compression.(6) In Chapter 7, we use the development of compressive stress during ductile grinding to elucidate the abrasion mode of copper CMP processes.
64
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Table 4.1 Modes of Abrasion14' AbrasionMode
MassRemovedvia
cinnchng
CraCk Propag-on
Ductile Grinding Polishing
4.4.2
Fracture Crack Initiation Plastic NOW, D~sification Bond Breakage Chemical Reaction
Scale of Mass Removal Macroscopc Pamcles
(m)
Colloidal Particles (MI) Atomic Clusters
(A)
Hertzian Indentation vs. Fluid-Based Wear
Polishing (as opposed to microgrinding) may occur by either Hertzian indentation or by fluid-based wear.(3) During Hertzian indentation, the abrasive particles are dragged across the surface and act as cutting tools. Indentation volumes are determined by abrasive particle loading and film properties as discussed in Section 4.1. Removal rates are governed by velocity and particle loading. During fluid-based wear, abrasive particles are not dragged across the surface, but rather impinge on the surface at some velocity and angle. As particles collide with the surface, they impart energy to the surface, resulting in strain, weakened bonds, and eventually material removal. Whether CMP occurs as Hertzian indentation or fluid-based wear is not clear and has been the subject of some debate. The difference between the two wear modes is in the slurry fluid layer between the pad and wafer." As discussed in Section 4.2, if the fluid layer is not continuous, then pad-wafer contact occurs. Note, however, that the pad does not contact the wafer surface directly, but rather the pad presses abrasive particles against the surface. In such instances, the pad will drag the abrasives across the surface, resulting in Hertzian indentation. If the fluid layer is continuous, then the pad does not contact the wafer surface, and Hertzian indentation will not occur. Instead, the collisions between abrasive particles and the pad accelerate the abrasive particles. The particles then impinge on the wafer surface, resulting in fluid-based wear. The velocity and angle of approach of the abrasive particles will determine the kinetic
4.5 THEPOLISH1"GPAD
65
energy that the particles transfer to the surface, and hence will affect the removal rates.'14) The h e t i c energy of the particles is a function of pad velocity and hydrodynamic pressure of the fluid layer. In addition because the particles lose energy (slow down) as they move through the fluid layer, local fluid layer thickness and slurry viscosity will also affect the particle velocity. Whether the abrasion mode is Hertzian indentation or fluidbased wear is likely to have a large impact on polish rate and planarization.m With partial fluid layers, Hertzian indentation is likely to lead to greater abrasive energy, while even slurry transport to all points on the wafer is likely to suffer from the thin and discontinuous fluid layer. In contrast, continuous fluid layers should result in greater slurry transport; however, fluid-based wear is likely to be less efficient in removing material. In addition, it is not clear how the discrepancy between the removal rates of high areas and low areas occurs with fluid-bawd wear. Runnels models fluidbased wear in terms of hydrodynamic pressures (see Section 5.2.2) and predicts that lower hydrodynamic pressure of the low regimes results in lower polish rates there. However, it is also possible that the approach angle of the abrasive is important to planarity. If the particle approaches at a very low angle, it is much more likely to strike a high surface than a low surface. Polishing mode and the role of the fluid layer is poorly understood at this point. It is clear, however, that polishing mode and fluid layer thickness and continuity have important implications for polish rates and planarity. Thus, it is important that further studies in these areas be initiated. We shall return to the subject of fluid layers in Sections 4.5 and 5.2.2. 4.5
THE POLISHING PAD
One of the most important components of the CMP system is the polishing pad. Unfortunately, the pad is also one of the most poorly understood components. Polishing pad structure and material properties are important in determining polish rate and plan&tion ability of a C M P process. However, the pad structure and properties are arrived at empirically for the most part. Indeed, it is
66
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
not yet clear what pad properties are most important to measure. Direct correlation between pad properties and polish performance have not yet been performed. In addition, conditioning techniques are required to maintain performance over the life of the pad. These techniques have also been arrived at empirically. Development of future pad materials will require an increased understanding of how the pad affects the CMP process and what pad properties are important in predicting polish performance. 4.5.1
Pad Materials and Properties
In general, polishing pads are composed of either a matrix of cast polyurethane foam with filler material to control hardness or polyurethane impregnated felts. Polyurethane is utilized because urethane chemistry allows the pad characteristics to be tailored to meet specific mechanical properties needs.('6) Jairath et al. describe the manufacture of pad material as foUows:(16) Polyurethane is a condensation polymer generally formed by the reaction between a di-isocyanate and a hydroxylated-terminated resin known as polyol in the presence of a catalyst and a foaming agent. The urethane foam formed as a result of this reaction is a cellular polymer that derives its mechanical properties in part from the cell matrix formed during its manufacture and in part from the intrinsic polymer properties. Choice of the di-isocyanate and polyol dictates the inherent polymer properties; in addition filler materials may be added to the polymer to improve its mechanical properties. A cross section of resulting pad material is shown in Figure 4.10. In this section, we shall review the properties that make a pad useful as a polishing surface. Table 4.2(17' lists several important properties of four polishing pads (all manufactured by Rodel). Specific gravity indicates the pad porosity; the lower the specific gravity, the higher the porosity. Porosity is important because (1) the pores aid in slurry transport and (2) the pore walls aid in the removal of reaction products from the polish site."*'Hardness and compressibility have been found em-
4.5 THE POLISHING PAD
Figure 4.10
67
An SEM micrograph of a new pad (Rodel's Suba IV)material.
Table 4.2 Pad Properties(17)
Pad Suba 1V Suba 500 IC-60
IC-lo00
sp'lc Gravity
Compressibility
Hardness
0.3 0.34 0.7
16 % ' 12 %
33 (Shore A) 65 (Shore A) 52-60 (Shore D)
0.6-0.8
N/A 5%
/A
pirically to affect planarity. The harder and more noncompressible the pad, the less it will bend and conform to the wafer surface to remove material at the low regions. Indeed, a large number of researchers have demonstrated that harder pads planarize better; however, in many cases, it is not clear exactly what is meant by a "hard" pad. Specifically, what pad parameters should be measured in determining pad hardness?
68
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Pad Mechanical Properties
It is generally agreed that the shear modulus of elasticity best describes the planarizing ability of a pad. A pad with a large shear modulus, G,will not comply to shear stresses during polishing, and themfore will not conform to the wafer surfam. Pad materials show both elastic (rapid) and viscoelastic (timedependent) deformation. Pad viscoelasticity affects planarity in the following manner.(19) As the pad transverses the wafer surface, it will move across high areas and low areas. When in contact with the high area, the pad will compress according to its modulus and exert pressure on the high area resulting in removal according to the Preston equation (Equation (4.1)). As the pad moves from an up area to a down area, it expands elastically (instantaneously) and then at a rate determined by its viscoelasticity. The greater the extent to which the pad expands into the low region, the greater the pressure the pad will exert there. To maintain a large difference in removal rate between the high and low areas, it is necessary to increase the pressure difference between the high and low areas. Thus, it is necessary to minimize the extent to which the pad expands upon passing over a low area. In order to better understand the shear modulus (and the time dependence of the shear modulus) we shall describe it mathematically. Elastic behavior is the instantaneous response to a stress as shown in Figure 4.11. When a stress is imposed, the material deforms instantaneously. When the stress is removed the material relaxes completely. The amount of deformation (strain) is related to the stress (pressure) by the shear modulus according to:
z =Gy
(4.9)
where z is the shear stress and y is the shear strain. Note that G is related to Young’s modulus by: (4.10)
where E is Young’s modulus of elasticity and p is Poisson’s ratio. A measurement of E gives G as long as p can be determined or as-
4 5 THEPOLISHINGPAD
Application
69
Removal
Time Fignre 4.11
Response of an elastic material to stress: deformation and relaxation occur instantaneously.
sumed. For an ideal material, Poisson's ratio is 0.5, although more typically 0.3 is measured.@)' A viscous material shows the deformation behavior given in Figure 4.12. While under stress, the strain in a viscous material increases linearly with time. When the stress is removed, the material remains strained. Viscous behavior is described by:
= = rlY
(4.11)
where q is the viscosity and yis the strain rate.
Figure 4.13 shows the deformation behavior of a viscoelastic material. In response to a stress at time tl, the material deforms exponentially with time. When the stress is removed (or lowered, as is the case when the pad passes from a high region to a low region), the viscoelastic material rebounds with an exponential time dependence. However, the strain in the viscoelastic material does not necessarily completely relax upon unloading. Viscoelastic behavior is described mathematically by: (4.12)
70
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
?-
.-c 2
G
Application of stress
Removal of stress
J.
J.
?-
.-c 2
Application of stress
" J -
Removal of stress
JTime
Figure 4.13
Response of a viscoelastic material to stress: strain increases exponentially with time according to Equation (4.12).
For time-independent viscosity, Equation (4.12) has the solution: (4.13)
where z, = q/G and y = 0 at t = 0. As mentioned above, polishing pads show both elastic and viscoelastic behavior. Figure 4.14 shows the time-dependent behav-
4 5 THE POLISHING PAD
*
I = .-
2
Application of stress
71
Removal of stress
5 Pad A
Time
Pad B
Time Figure 4.14
Two hypothetical pads exhibiting both elastic and viscoelastic behavior. pad A shows less deformation than pad B, and is therefore less compliant and likely to be more effective at planarizing.
ior of two hypothetical pads. The shape of the deformation curves for each pad is similar, however, pad A shows less deformation than pad B and is therefore less compliant. Because it is less compliant, when passing from a high to low feature, pad A will expand less than pad B and therefore will exert less pressure on the
72
MECHANICAL A N D ELECTROCHEMICAL CONCEPTS
low area. As a result, the low areas polish slower with pad A and planarization is more efficient. Note, however, the importance of the time dependence of Equation (4.13). As the pad passes from a high area to a low area to another high area, it only has a finite time in which to deform. For example, if the largest feature on the wafer surface is 1 mm and the relative velocity of the pad to the wafer is 500 d s e c , then the pad will only have W O O sec or 2 msec to deform. Thus only the first 2 msec of the deformation curve are important in determining planarization behavior. Consider pads C and D (Figure 4.13, even though pad C shows greater long-term deformation, in the time important for planarization (i.e., 2 msec), pad C deforms less and therefore should planarize better. In order to compare different pads, shear moduli measurements must be made at the appropriate frequency, i.e. the dynamic modulus (G') must be measured. In the previous example the measurement frequency should be 1/(2 msec) or 500 Hz. Because they measure the time dependence of deformation, dynamic shear modulus measurements account for both elastic and viscoelastic pad behavior. Measurement of Dynamic Shear Modulus
One instrument capable of measuring the dynamic shear modulus is a dynamic mechanical analyzer @MA). A DMA measures the viscoelastic properties of a material by measuring the mechanical response that is deformed under periodic stress.(19)Operation of a DMA tool offered by TA Instruments is as follows:(21) The sample is clamped between the ends of two parallel arms, which are mounted on low-force flexure pivots, allowing motion only in the horizontal plane. The distance between the two arms is adjustable by means of a precision mechanical slide to accommodate a wide range of sample lengths (from c 1 mm up to 65 mm). An electromagnetic motor attached to one arm drives the arm/sample to a strain (amplitude) selected by the operator. As the arm/sample system is displaced, the sample undergoes a flexural deformation [as depicted schemati-
4.5
THE POLISHING PAD
73
Pad C
Pad D
t, =z,
F-e
4.S
Time
Two hypothetical pads exiiiting both elastic and viscoelastic behavior. Even though pad C shows greater long-team &formation,in the time important for planarization, pad C deforms less and therefm should planarize better.
cally in Figure 4.16.'21)] A linear variable differential transformer (LVDT) mounted on the driven arm measures the sample's response (strain and fiequency) to the applied stress, and provides feedback control to the motor.
74
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Electromagnetic Motor (Applied Stress)
i\ II
i \ I
I \ I I
d = displacement strain
Figure 4.16
\ I
I \ I I
; \ I
Clamp
f
Schematic representation of a DMA tool. (Fmm Ref. (21).)
Dynamic shear modulus measurements have been made(19) for various pad materials under various conditions using a DMA tool. Figure 4.17‘”’ shows G’ as a function of measurement frequency for an ICloOO pad. As expected, G’ increases with frequency. The higher the frequency, the less time the pad has to deform as shown in Figure 4.18.(”) During polishing, a higher velocity results in a shorter time frame (higher frequency) for deformation. Thus, planarity would be expected to increase with velocity. Other workers have observed an increase in planarization with velocity.(22)G’ is also affected by temperature. Figure 4. 19(19) shows G’ for a variety of pads (under development by Rodel) as a function of temperature. As temperature increases, the pad material softens and exhibits lower G’. Note that planarity has been observed by other workers to increase with decreasing temperature.(23)
4.5 THEPOLISHCNGPAD
T=30°C
IC1000
160
75
0 Dry
-
140
a
g
120
c
t3 100
80 1o-2
I
I
10-I
1oo
1o1
f (Ha Figure 4.17
I
Dynamic shear modulus vs. measmment frequency for an IClO00 pad. (From Ref. (19).)
Time 4
I
f,
Figure 4.18
I
I
I
>
fl
*
Freq
The effect of frecluency on the measurement of G'. At higher frequencies, the pad has less time to deform and therefore shows higher G'. (From Ref. (19).)
76
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Temperature (“C)
Fignre4.19
G’ for several pads (under development by Rodel) as
a
function of temperahnc (prom Ref. (19).)
Another important point investigated by Tomozawa et al. is the effect of water on G’. The previous measurements were made on dry pad material. However, polishing occurs in a wet environment, and therefore the effect of water on G’ is important. Figures 4.20‘19) and 4.21(19) show the variation in G’ as a function of soaking time in water for Suba IV and ICl0o0 pads. In both cases G’ decreases with soaking time. One proposed explanation for this effect is that water molecules break hydrogen bonds in the urethane. As shown in Figure 4.22,”) hydrogen bonding cross-links polymers of the urethane structure. The reduction in cross-linking that occurs when hydrogen bonds are broken weakens the urethane structure and thus softens the pad material. In order for the water to affect hydrogen bonding within the urethane, the water must first diffi~seinto the pad material. The diffusion coefficient of water in a film (nonporous) of polyurethane has been measured as approximately 1 ~ 1 0~m~/sec!~~) -~ The diffusion constant is important because it determines how rapidly water will affect G‘. In the case of the IClO00 pad (Figure 4.21), a sharp decline in G’ (almost a 2x reduction) is experienced during
4.5
THE POLISHING PAD
77
140
120 h
a a 2 v el
100
80
0
400
100
600
800
1000
Soaking Time (min)
G’as a function of soaking time in water for a S u b N pad (FromRef. (19).)
Figure 4.20
0.1 Hz 0.3 Hz 1.0 Hz
0
50
v
h
a
Q
z
v
45
b
40
t
35 I
0
I
I
I
50
100
150
Soaking Time (min)
Figure 4.21
G’as a function of soaking time in water for an ICl0oO pad. (prom Ref. (19).)
78
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Hydrogen Bonding State A Low humidity ~
H
N -C --
6q v
-
C
-
4
Hydrogenbond
N 0
State B - High humidity
?
N C --
0".
-"H
\
/
H
v
H/o",H I
0-C-N----
+
Water moiecules disrupbng the hydrogenbond
6
m
e 4.22
Schematic description of the effect of water on pad materials.
Water breaks hydrogen bonds which cross-link the urethane structure. The reduction in cross-linking weakens the urethane and thus softens the pad material. (From Ref. (a).)
approximately the first 200 min of soaking, at which time G' begins to stabilize. Thus, in use, the planarization behavior of the IClO00 pad is likely to vary dramatically over the life of the pad, particularly at the beginning. While a high G' is desirable, it may actually be beneficial to operate in the flat part of Figures 4.20 and 4.21 in order to obtain repeatable performance from wafer to wafer. Note, however, that water diffusion is likely to be accelerated by the pressure imposed on the pad during polishing, so that stabilization of G' may occur sooner than shown in Figures 4.20 and 4.21. Sueace Roughness
A number of researchers have indicated the importance of pad surface roughness in determining polish rate and planarity.(8*'6m For example, Renteln and Coniff have demonstrated that polish rate increases with pad roughness.'25) In addition, they argue that because of the increased porosity at the pad surface, the surface will exhibit a modulus lower than the bulk modulus. Mechanical behavior of the pad may then be modeled by considering the pad as two separate layers whose moduli add in parallel.
4 5 THEPOLISHINGPAD
79
Yu et al. have measured pad roughness and discuss a removal mechanism based on the distribution of surface asperity sizes (asperities are high points in the pad surface). Figure 4.23'*' shows the topography of a polishing pad with surface asperities indicated. Figure 4.24@)shows the distribution of asperity heights measured across an area of the pad surface. Two assumptions are made of the surface asperities: (1) they are spherical at their summit, and (2) their height (z) and radius (p) are Gaussian distributions. Asperity height is measured from a reference plane which represents the median of the asperity height distribution (Figure 4.23). Then, by measuring the distributions of z and B, Yu at al. were able to discuss feature scale removal by modeling the contact of the asperities with the surface. For the case of a noncontinuous fluid layer, i.e., partial padwafer contact, contact between the pad and the wafer will occur at the pad asperities (Figure 4.25). As the pressure increases, more asperities will come into contact with the surface. The contact properties of a given asperity are derived from Hertz's equations. The contact area, a, and contact load, 1, are given by: a=
leP(z-4
(4.14)
(4.15)
where E' is an effective modulus of the pad surface and d is the thickness of the fluid layer (i.e., the distance between the wafer and where z = 0). The total pad contact area, A,,, and load, L, over a given pad area A are obtained by integration: (4.16)
(4.17) d -0
80
MECHANICAL AND ELECTROCHEMICAL CONCEPTS Asperities
plane
Figure 4.23
Topogrilphy of a polishing pad with surface aspeaitia indicat-
Asperity Height [micron]
Figure 4.24
The distribution of asPerj.heights measud across an area of the pad surface. (From Ref. (8), (c) IEEE.)
where q is the asperity density and Qp and Qz are the Gaussian distributions of p and z.Using these equations, the machine applied pressure P and the contact pressure, at a given asperity, P,,,,are given by:
P=VA
(4.18)
(4.19)
4.5
THE POLISHING PAD
81
Low P
.
.
High P Figure 4.25
From the case of partial pad-wafa contact, contact between the pad and the wafer will occur at the pad asperities. As the pressure increases, more asperities will come into contact with the surface.
Figure 4.26@)show the results of the above integrations. Notice that
P,, is only weakly dependent on applied pressure (and indeed decreases with applied pressure). Instead, increasing applied pressure has the affect of increasing the contact area between the pad and the wafer. The suggestion is that increasing pressure increases polish rate by increasing the number of sites on the pad that are active in polishing rather than by increasing the pressure at each site. In concert with the previous discussion on shear modulus, Yu et al. show the dependence of contact area on pad modulus(Fig-
82
MECHANICAL AND ELECTROCHEMICAL CONCEPTS I 0" 10" m
D
a
lo5
gs
6
10
"'0
Figure 4.26
5 10 15 20 25 30 35 Nominal Pressure P [psi]
6
1o-?
P, and A , vs. applied pressure. P,, is only weakly dependent on applied ppessute while A , increases with applied pressure. (From Ref. (8), (c) JEEE.)
gure 4.27@)).Recall the relationship between modulus E and shear modulus G given in Equation (4.10). Increasing pad modulus decreases the contact area and therefore is expected to decrease polish rate. Indeed, harder pads have been shown to polish slower than softer pads.(") Next, the effect of asperity size on feature scale polishing is investigated. Figure 4.28(*) shows the interaction between an asperity and a low feature of width w and height h. The asperity is only able to contact the bottom of the feature at a reduced width of
w* =w-wm
(4.20)
where: (4.21)
If w < we, than no contact between the asperity and the bottom of the trench is made and the removal rate of the low area is unaffected. With a measurement of the distributions of and z, planarization may be predicted for any given feature size. Note that in the current model, the viscoelasticity of the pad will still affect the planarity. An asperity with a high G' will rebound sluggishly as it passes over the trench and thus will make
4.5 THEPOLISHINGPAD
83
5 Nominal Pressure P [psi]
Figure 4.27
The dependence of A, IEEE.)
on pad modulus. (From Ref. (8). (c)
15
w* = 0,w = we,
H \P
Figure 4.28
The interaction between an asperity and a low feature. Asperities above a size given by Equation (4.18) are excluded from entering a low feature. (From Ref. (8), (c) IEEE.)
less contact to the low region than an asperity with low G’. Indeed, Yu et al. have applied their model to experimental data and find the model predicts poorer planarity than observed. They suggest that the difference may be resolved by including the dynamic effects of the pad modulus. Note,however, that G’ for the surface layer (i.e., the asperities) is likely to be different from G’ of the bulk material. 4.5.2 Pad Conditioning From the discussions in the previous sections, it is clear that surface roughness and surface porosity play an important role in
84
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
determining polish rate and planarity. Surface roughness and porosity determine slurry transport to the surface, material transport away fiom the surface, and the contact area of the pad to the surface. Thus, it is important to obtain the optimal pad surface roughness and porosity, and to maintain these over the useful life of the pad. During polishing, however, the surface of the pad can undergo plastic deformation so that the surface becomes smoother and the pores fill with pad material, a phenomenon referred to as glazing.'16) Figure 4.29 shows the surface of a glazed pad. Glazing is undesirable because it often leads to decreased polish rates.('@ Figure 4.30(16)shows the effect of pad glazing on polish rate over time. The polish rate decays approximately logarithmically with time until the surface is refreshed by a conditioning technique. Conditioning techniques are commonly performed on pads to maintain the roughness and porosity of the surface. These techniques involve removal of the polish by-products and used slurry as well as some form of abrasion of the pad surface to remove deformed pad material. Most polishing tools on the rxiiket include a polishing arm with an abrasive wheel dedicated to pad conditioning. Pad conditioning can be performed between wafers or in situ during wafer polishing. Care must be exercised, however, to ensure that the abrasive from the condition wheel does not enter the slurry and scratch the wafer surface. In addition to between wafer conditioning, pad break-in techniques are often performed before the pad is first used. Pad break-in often involves the same techniques employed for conditioning, along with running dummy wafers to stabilize the pad performance before running product wafers.In addition to setting the surface roughness, pad break-in is also likely to stabilize other pad properties such as water absorption. 4.6
ELECTROCHEMICAL PHENOMENA
The principles of electrochemistry are useful in explaining many of the chemical mechanisms of metal CMP. Surface layer formation, metal solubility, and metal dissolution are all explained by e1ectrochemistry.Surface films which are generally oxides or hy-
4.6 ELECTROCHEMICAL PHENOMENA
85
The surface of a glazed pad (Rodel's Suba lV pad) after polishing.
Figure4.29
1
I m a -
0.8
m
$
0.6
c
'0
.2 0.4
2ij z
0.2 NormalizedRR - No condiioning 8NormalizedRR - Wnh wndihning
0
Fgure 430
I
I
10
20
I
I
30 40 Number of Wafers Processed
The effect of pad glazing and conditioning on polish rate. An extended conditioning sequence was performed between the 25th and 26th wafer. After which, the standard conditioning sequence maintained a stable polish rate. (prom Ref. (16), reprinted by permission of the publisher.)
droxides of the metal film form due to exposure to the slurry. Growth of metal oxide films is a corrosion process governed by electrochemical reactions such as:'")
86
MECHANICAL A N D ELECTROCHEMICAL CONCEPTS
2 C u + H 2 0 4 ~ O + 2 H =' 2e-
(4.22)
Dissolution of the abraded material is governed by electrochemical reactions such as:'")
cu2++ 2e--cu
(4.23)
2Cu2+ + H . 0 + 2e--C%O + 2H+
(4.24)
Note that these reactions are written as reduction reactions according to convention. Oxidation occurs when the reaction as written proceeds in the reverse direction (to the left). These reactions are not unique and other reactions besides reactions (4.22)-(4.24)are possible and may be occurring simultaneously. CMP is analogous to the phenomena of erosion corrosion. Normally, during corrosion of a metal, a scale forms which slows further corrosion of the metal by acting as a barrier between the metal and the corrosive medium (Section 4.3).(13) In erosion corrosion, low corrosion rates are accelerated by the removal of this scale via an erosion or wear process. The scale, wear process, and corrosive medium in erosion corrosion are analogous to the surface layer, mechanical abrasion, and sluny chemicals of the CMP process. Thus, in principle, the same electrochemical theories that are used to understand corrosion may be applied to CMP. In this section, we discuss the electrochemical theories that are important in metal CMP. In many instances we shall refer to the electrochemical behavior of copper for illustration. However, these electrochemical principles are applicable to the CMP of all metals. 4.6.1
Reduction-Oxidation Reactions
Reactions (4.22)-(4.24)are examples of oxidation reactions that will lead to copper oxidation. Oxidation reactions are reactions that increase the oxidation state of a species such as a rnetal.(l3) For example, in reaction (4.23), the oxidation state of copper is raised from 0 to 2+. Consequently, electrons are a product of
4.6 ELECTROCHEMICAL PHENOMENA
87
oxidation reactions, and therefore an electron sink is required to balance the oxidation reaction. The electron sink is a simultaneously occurring reduction reaction. A reduction reaction lowers the oxidation state of a species and utilizes electrons as a reactant. In addition, the free energy change for the oxidation and reduction reactions must sum to less than zero in order for the total reaction to be thermodynamically favored. In electrochemistry, free energy is measured in terms of electrochemical potentials. The electrochemical potential, e, is related to the free energy change of a reaction, AG,according to:
AG
=
-nFE
(4.25)
where n is the number of electrons transferred in the reaction and
F is Faraday's constant equal to 95,600"C. Table 4.3 is a partial electrochemical series listing a number of reactions and their associated standard electrochemical potentials. Note that electrochemists refer to noble and active potentials rather than positive and negative potentials. Thus, a reaction that has a higher potential than a second is said to be more noble, while the second reaction is more active. The electrochemical potential of the reactions of Table 4.3 are all referenced to the standard (unit activities) hydrogen reaction. The.term volts vs. the standard hydrogen electrode (V,& refers to the difference in potential between a given reaction and the standard hydrogen reaction. In aqueous solutions, copper may be oxidized by the reduction of dissolved oxygen:(") O2 + H20 + 4 e - 4 O H -
(4.26)
or by the reduction of dissolved oxidizing ions such as the reduction the fenicyanide ion, Fe(CN);-, to the ferrocyanide ion, Fe(CN),&:(%)
2Fe(CN),3- + 2e --2Fe(C")t-
(4.27)
The oxidation of more active metals, such as aluminum, tungsten, and titanium, may be driven by the above reduction reactions as well as by the reduction of hydrogen ions:'"'
88
MECHANICAL AND ELECTROCHEWICAL CONCEPTS
Table 4 3 Elecaocheanical Series
-
Electrode Potenhal
Reaction F, + 2e- 2F 0,+ 2H++ 2e-a 0,+ H, S,0n2 + 2e- a 2SO4> MNOi + 4H+ + 3e- a MnG + -0 HClO + H+ + 2e-a Cl-+ &O Ce'++ e- a Ce3+ Au3' + 3e- a Au Cl, + 2e-cs Xl0,+ 4W + 4e- a 2H,O Bra@ + 2e- * 2BrNO,-+ 4W + 3e- u HNO, + HzO Pd" + 2e-tj Pd Ag' + e- cs Ag Fe3++ 2e- e+ Fe2' I, + 22 e+ Z 0,+ 2H,O + 4e- m 4OHPe(cN))" + eP~w)c Cu" + 2e- e Cu SO," + 4J3++ 2e- a &SO, + HzO WO, + 2W + 2e- u WO, + HzO 2H++ 2e- es H, Fes + 3e- a Fe WO, + 6H++ 6e- m W + 3H,o WO, + 4W + 46 u W + 2H,O Ni" + 2e- tj Ni Coz++ 2e- tj Cu,O + H,O + 2e- ($ X u + 2OHT%O, + 1OH' + l&- tj 2Ta + 5 q O C?+ + 3e- m 0 Zn" + 2e-e+ Zn TiO, + 4H+ + 4e- m 2H,O Ti2++ 26 a Ti A3+ + 3e- w Al Ce3++ 3e- tj Ce Na'
+ e- w Na
AdapteQtrOm
Volts vs. SHE 2.87 2.07 2.0 1.68 1.49 1.72 1.so 1.36 1.23 1.09
0.94 0.95 0.800 0.77 0.536 0.401 0.358 0.342 0.17 0.036 O.OO0 -0.037 -0.09
-0.12 -0.26 -0.28 -0.36 -0.7 1 -0.74 -0.76 -0.502 - 1.63 -1.66 -2.34 -2.71
mi. (26). A more extemve ltst ot electrochemcalpotentMIS is
available in Ref. (26).
4.6
ELECTROCHEMICAL PHENOMENA
89
Thus, surface film formation and metal dissolution occur via an oxidation reaction with a balancing reduction reaction to sink the electrons generated. An example of metal dissolution via an oxidation reaction is the reaction of ferricyanide ions and copper metal. Reversing reaction (4.23) and adding to reaction (4.27) gives:
Cu
+
2Fe(CN)2+-Cu2+ + 2Fe(CN)t-
(4.29)
In the absence of other oxidizing or reducing species, the reaction (4.29) will proceed in the forward direction, increasing the tendency for copper dissolution, as long as the driving force for the reaction (4.29) is greater than zero (i.e., the free energy change is less than zero). The driving force for the reaction (4.29) is the difference in the reversible potentials of reactions (4.23) and (4.27) which, for unit activities, is equal to 16 mV.(26) For nonunit activities, assuming that the ion activity is equal to ion concentration, the difference in reduction potentials is given by:('3)
where A&' is the difference in reduction potentials at unit activities (16 mV) and ( ) indicates concentration of the species within the parentheses. & increases (decreases) with an increase (decrease) in ferricyanide ion concentration or a decrease (increase) in either copper ion or ferrocyanide ion concentration. By adding femcyanide ions to the slurry, the driving force for oxidation and dissolution of the copper increases. Because ferricyanide may oxidize copper in this way, ferricyanide is considered to be an oxidizing agent for copper. We shall demonstrate that the addition of oxidizing agents such as femcyanide and nitrate ions to the slurry is an effective means of increasing the metal polish rate. Reactions (4.22)-(4.24) are only a subset of all the oxidation reactions possible for copper. Pourbaix considers 22 copper reduction-oxidation reactions in determining the oxidation behavior of copper in pure water.'") To determine which reactions will domi-
90
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
nate in a given polish slurry at equilibrium, one must look at these reactions and find which reaction has the greatest driving force. Fortunately, Pourbaix has summarized all of the reactions and determined the most favorable reactions for the oxidation of metals in pure water. Pourbaix's work is the topic of discussion in the next section. 4.6.2
Pourbah Diagrams
Pourbaix diagrams, or pH-potential diagrams, have been constructed to facilitate the prediction of the various phases (reactions and reaction products) that are stable in an aqueous electrochemical system at equilibrium.(") Boundary lines in such diagrams divide the areas of stability for different phases and are derived from the use of Nernst equation
for a typical reaction, at 25°C'
a4 + mH'
+
ne- = bB
+ dH20
(4.32)
Figure 4.31'"' shows such a diagram for the Cu-H,O system indicating the corrosion behavior of copper in water. The ranges of pH and potential at which unoxidized copper is stable, a copper oxide is formed, or an ionic copper species is formed can be obtained from this diagram. For example, in acidic solutions with pH c 5 oxides of copper do not form and copper dissolves as Cu2+at noble (high) potentials and is immune from oxidation at active (low) potentials. Alternatively, in highly alkaline solutions of pH > 13, there is the possibility of forming CuO,% at noble potentials. For pH in the range of 7-13, C k O formation is likely at low potentials while CuO forms on copper at more noble potentials. Copper polish slurry formations may contain dissolved NH3 (g) to complex the copper ions and increase copper solubility. In 1 vol% W O H , for example, cUz* ions are complexed by NH, according to:(2n
4.6 ELECTROCHEMICALPHENOMENA
A[
I
I
I
I
I
I
I
I I
I I
I
I
91
l-';j:;.2
-1.4 -1.6 -1.6 -1 .8 -1.8 -2 -1 0 1 2 3 4 5 6 7 8 9 10111213141516
PH
I
I
1
I
'
1
.
1
1
.
1
'
1
'
cI>
1.20 :
>
0.40
: r
8
0.00
:
-0.40 7
vj
rn c v
0.80
Corosion
Passivation
-0.80 ;
-1.20
I
'
I
'
I
'
I
'
-
-
Immunity
:
-1.60 : -2.00; '
Figure4.31
~
Con. : -
h
w I
1
I
'
I
'
I
'
'
Pourbak diagram for the Cu-NH,O system indicating the corrosion behavior of copper in water. (From Ref. (ll).)
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
92
In the presence of H20 and NH3, the regions indicated on the CuNH3-H20pH-potential diagram, Figure 4.32Jn) are the most favorable forms of copper since the reactions associated with these regions have the most negative free energy changes at the given pH and potential. However, the regions indicated on the Cu-H20 diagram are also thermodynamically possible because their reactions also have negative free energy changes. Thus, both the reactions indicated in Figures 4.31 and 4.32 for a given pH and potential may be occurring at the same time. The pH-potential diagrams do not address the kinetics of the reactions. Although the Cu-NH3-H20 system in equilibrium is described by Figure 4.32, it is possible that the stability region given in Figure 4.31 may act as an intermediate state and be kinetically favored while the system attempts to reach the equilibrium state given by Figure 4.32. For example, in the 1 vol% W O H slurry, and the slurry pH the measured potential of copper is -90mV, is 11. The equilibrium form of copper will be the complex Cu(NH3),' (from Figure 4.32) which will form in the slurry solution. However, it is likely that, as an intermediate state, -0 will 0.6
-
Gi
r
C/)
0.4
uj
s c
-
o.2
-
c a
O-
0 n
-
.c
c
-0.2
+
52s CU(NH,),"
-
la
v
+ : : 2 2
zzz a5a
cu++
-
>
cn -
,
cu
NH,OH I
I
I
PH Figore 4.32
Pourbaix diagram for the Cu-NH,-H,O system. (From Ref. (28)).
4.6 ELECTROCHEMICAL PHENOMENA
93
form on the copper surface. This supposition is supported by the observation that when polishing on a pad containing low levels of copper (i.e., by-products from previous copper polishing), the slurry obtains a blue tint, indicating the formation of Cu(NH3);. Conversely, when polishing on a pad that contains high levels of copper by-products, the slurry turns black during the polishing, indicating the presence of copper oxide in the slurry, but turns to blue within approximately one minute after polishing. This suggests that the copper oxide does form as an intermediate state and the time required for the NH, to complex copper ions entering the slurry is dependent upon the amount of copper by-products already in the slurry and pad. In general, because CMP is a dynamic process, it is unlikely that the metal surface will reach thermodynamic equilibrium, and therefore intermediate states will play an important role. The region of a metal's stability is important for several reasons. First, different films tend to form on the metal in different regions of stability. Cu,O, CuO or Cu(OH), (hydrated CuO) form on copper at noble potentials and at neutral to high pH, while no film will form at low pH and/or more active potentials in the Cu or Cu2' regions of Figures 4.31 or 4.32. Because the surface film is, at least to some degree, the surface being polished and removed, surface film formation affects such important parameters as f i i quality, polish rate, planarization, and the post polish corrosion resistance. The second reason the region of stability is important is that if the metal falls in the region of immunity (the Cu region), it is thermodynamically impossible for the metal to dissolve into solution or form a solid oxidation product. If there is no oxidation of the metal, there is no chemical component to the polish. Without a chemical component, the polish becomes strictly mechanical polishing (MP). An example of a slurry where copper is immune to corrosion is given in Section 4.2.4. There are several difficulties with using the Pourbaix diagram to predict the stability of copper during polishing, specifically:
MECHANICAL A N D ELECTROCHEMICAL C O N C W S
94
Complexing ions alter the Pourbaix diagram by moving the boundaries of the stability regions and adding new stability regions.'") Cathodic reduction of oxygen (which is associated with 2. the dissolution of copper) produces OH-, increasing the local pH of the slurry next to the copper Consequently, the pH measured in a bulk solution may differ significantly from the pH at the metal surface during polishing. 3. As mentioned, the Pourbaix diagram is an equilibrium diagram and polishing may be far removed from equilibrium. However, even if the system is not at equilibrium, the Pourbaix diagram still predicts the state which the system is attempting to reach. 4. Pourbaix diagrams are constructed for T = 300 K, P = 1 atm. Polishing occurs at elevated temperatures (due to frictional energy) and elevated hydrostatic pressures. These difficulties complicate attempts to use the above Pourbaix diagrams to predict the polishing performance. However, trends in oxidation of metals predicted by the Pourbaix diagram help to explain many phenomena observed in metal CMP. 1.
4.63
Mixed Potential Theory
The electrochemical potential is a measure of the driving force (or free energy change) of the oxidatiow'reduction reactions that occur during metal dissolution. As mentioned, copper dissolution and redeposition may occur by the reduction-oxidation reaction: (4.34)
The reversible potential for this reaction is given by:(13) (4.35)
Where eo for reaction (4.23)is 337 mVsm(") and (Cu2+)indicates copper ion activity. As is common, we assume all ion activities are
4.6 ELECTROCHEMICAL PHENOMENA
95
equal to the molar ion concentration. Thus, a measurement of the reversible potential would provide a direct measure of the metal ion concentration. However, the reversible potential may not be measured directly. The potential that is measured is the mixed corrosion potential, ecom. In order to understand the origin of the mixed corrosion potential, we must utilize mixed potential theory and the cu/Cu*' system as an example. A Cu/Cu2+system is removed from the equilibrium given by Equation. (4.34) by the application of a driving force or an overpotential, q. The application of an overpotential results in the system attempting to return to equilibrium by driving reaction (4.23) either in the reverse direction, for a positive overpotential, or in the forward direction, for a negative overpotential. Because electrochemical reactions involve the flow of electrons, the reaction rate may be considered as a reaction current or current density. The reaction current is the rate at which electrons flow from the site of the anodic reaction to the site of the cathodic reaction. The rate at which the reaction proceeds is determined by kinetics, and the magnitude of the overpotential which is related to the reaction current density by:(13) (436a)
and 1 ', =
P,
'a -
(436b)
where q, is an overpotential in the noble direction (anodic polarization) that leads to an anodic current density i,; q, is an overpotential in the active direction (cathodic polarization) that leads to a cathodic current density i,; and pn,p,, and i, are kinetic parameters that may be determined by experiment. Equations (4.35a) and (4.35b) are plotted schematically in Figure 4.33a for the cu/cu2" system. Figure 4.33a shows an overpotential that is controlled only by Tafel kinetics. Under Tafel kinetics, the reaction is reaction rate
96
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Log Current Density
(4
Log Current Density (b)
Figure 4.33
(a) Current density vs. overpotential for Cu/Cu2+controlled by Tafel kinetics. For q > 0, copper is oxidized; for q < 0, Cu" is reduced. (b) Current density vs. oveptential for reduction reaction controlled by concentation polarization.
limited and the current density increases proportional to the overp~tential."~' Alternatively, the reaction may be limited by the
4.6 ELECTROCHJMICALPHENOMENA
97
diffusion rate of reactants to the surface and/or products away from the surface. Such a scenario is termed concentration polarization and is characterized by a potential diagram such as the diagram given in Figure 4.33b for the reduction of 0,.Under concentration polarization, the current density is given by:(13)
where R is the ideal gas constant, T is temperature, and iL is the limiting current density. Note that under concentration polarization, the current density is no longer proportional to overpotential and increases very slowly with overpotential. While an overpotential may be applied electrically, we are interested in the overpotential that is reached via chemical equilibrium with a second reaction. As mentioned previously, the oxidation of a metal requires a corresponding reduction reaction. As shown in Figure 4.34, both copper oxidation, and the corresponding reduction reaction may be plotted on the same scale to determine the chemical equilibrium betwetm the two reactions. The intersection of the two curves in Figure 4.34 gives the mixed potential and the corrosion current. The intersection point depends upon several factors including e,, (the reversible potential of the cathodic reaction), the Tafel slopes p, and p, of each reaction, and whether the reactions are controlled by Tafel kinetics or concentration polarization. In addition, other reduction and oxidation reactions may occur simultaneously which will influence the mixed potential. Because the mixed potential involves many unknown variables, it is difficult to calculate the concentration of metal ions in the slurry directly from a measurement of the mixed potential. However, relative changes in ion concentration may be inferred from changes in the mixed potential. When the C Uion ~ concentration increases, the reversible potential increases, shifting the entire Cu/Cu2' oxidation curve in the noble direction. As a result, the equilibrium with the reduction reaction shifts in the noble direction (higher potential). Thus, an increase in potential is indicative of an
98
MECHANICAL A N D ELECTROCHEMICAL CONCEPTS
'wrl
Log Current Density Figure434
Fquilibrium between Cu/Cu2+ reaction and hypothetical reduction reaction. The mixed corrosion potential, E-, and the dissolution current density, i- occur at the intersection of the cathodic reduction curve and the anodic oxidation m e .
increase in copper ion concentration. Assuming that an increase in is due only to an increase in (Cu2+),we can infer a change in ((3%) from a change in ecOwSpecifically,
Figure 4.35 illustrates Equation (4.37). In general, copper may dissolve as ions other than Cuz* (such as Cu",HCuO;, or CUO,~), and therefore, A&,,, represents the change in the concentration of all ionic copper species. 4.6.4 Example: Copper CMP in NH,-based Slurries
An example of the use of Pourbaix diagrams and electrochemical potential measurements is the polish performance of copper in three slurries based upon NH,compounds. These slurries consist of 2.5 wt% 40,abrasive with an average aggregate size of 300 nm, DI water, and either W O H , NH4N0,, or NH,Cl. The total concentration of ammonia(as NH,, NH2, or m X ) in each
4.6 ELECTROCHEMICALPHENOMENA
99
Log Current Density
Figure 4.35
Schematic illustration of the relationship between e,, and [Cu”]. Increasing [Cu2+]increases the reversible potential for copper dissolution, ECIJCIIZ+, and shifts the Cu/Cu2+oxidation curve, and hence the intersection with the reduction reaction, in the noble direction. Therefore, an increase in [Cu2+]increases the mixed consion potential e-
slurry is 0.167 molar. By measuring the mixed corrosion potentials of copper in these slurries, we are able to use the Pourbaix diagrams and mixed potential theory to correlate copper dissolution to polish rate.
Corrosion Potential Measurements For the data presented in this section, two methods are used to measure the electrochemical potential of the copper in the slurry solutions. The apparatuses are show schematically in Figure 4.36. First, a copper wire of 99.99% purity, is immersed in a 250 ml beaker containing a solution chemically equivalent to the slurry but lacking the abrasive, and the potential is measured with reference to a saturated calomel electrode contained in a separate beaker. The reference potential of the calomel electrode is ercf= 4 - 2 4 V vs. the standard hydrogen electrode (Vs&. The Wire in beaker measurement serves as a point of reference for the potential measured during polishing. Second, 75 mm silicon waferswith 60 nm of thermally grown SiO, are sputter coated on the front side with 40 nm of titanium and 2 pm of copper and on the back side with copper. The metal film is extended from the back to front of the wafer because metal deposition also occurrs along the edge of the wafer.The potential of the copper film on the front side is there
100
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Reference electrode
(4
polishing table Reference electrode
Figure 4.36
Apparatuses for measurement of (a) the potential of a copper wire in a beaker, and (b) the potential of a copper film during polishing.
fore measured by contacting the back of the wafer with a platinum wire. A electrolytic conductor, consisting of a saturated cotton string, is laid onto the pad or into the beaker containing the slurry solution to electrically connect the reference electrode and allow measurement of the potential. Even though the amount of liquid on the pad is small, moving the wafer 40 cm away from the salt bridge changes the electrochemical potential less than 15 mV, suggesting that the conductance of the slurry is high enough that the measurements are not significantly affected. Table 4.4 gives the pH and the static mixed potential for each of the NH, slurries as well as a prediction of the copper stability from the Cu-H,O and Cu-NH,-I-&OpH-potential diagrams.
4.6 ELECTROCHEMICAL PHENOMENA
101
Table 4.4 Copper Stability in NH3 Slurries
Chemical OH wN03
Conc*
pH
1.0 vol% 1.4 wt%
11.0 4.7
e(rnV,& 80
Cu-NH3-K0 Diagram
cuZU
CUW3)z-
220 cu2+
4.8 60 c u 0.9 wt% * concentrauons cornspond to 0.161 M NH, wC1
Stability from
from Cu-H,O Diagram
cum,),' cu
Figure 4.37 shows traces of the potential of 75 mm diameter copper-coated wafers during polishing for each of the three slurries examined. Several features of these plots are worth noting. First, each of the slurries is in a different range of potentials, each of which is similar to the potential of the copper measured in static solutions. Second, while the potential for the W O H and NH4N03 slurries both increase during polishing, the trace for the W C 1 slurry is nearly constant during polishing. Finally, for the N€&OH and NH4No3 slurries, the slope of the potential decreases as the polishing proceeds. These features are the result of important aspects of the polishing process. The static potential measurement accurately reflects the range in which the potential falls during polishing. Before the polishing begins, the wafer rests on the pad in contact with the slurry. The static potential of the wafer (from Figure 4.37) is more noble than the static potential of the wire (from Table 4.4).Once the polishing begins, however, the potential drops instantaneously as dissolved 0,in the slurry is consumed to provide the cathodic reaction for copper dissolution. As the concentration of 0, decreases, e,, decreases and the 0, cathodic curve moves in the active (negative) direction, causing the mixed potential to decrease. Indeed, once polishing begins, if the polish rate is suddenly increased as a result of increased applied pressure, the potential will again drop instantaneously as the increased polish rate decreases the oxygen concentration even further. For the W O H and NH4No3 slurries, after the initial decrease, the potential rises steadily during the polish as copper ions build up in the slurry (Equation (4.14)).Figure4.38 shows the aver-
102
c
MECHANICAL IND ELECTROCHEMICAL CONCEPTS
r
1
-200
Figure 4.37
300 -
-$
200 100
0-
-200 -loo]
200 loo1
NH,OH (a)
NH,CI (c)
Potential traces for copper polished in (a) 1.0 vol%W O H , (b) 1.4 wt% -NO,, and (c) 0.94 wt% W C l slmk. The trace begins to the left and records for one minute.
300
w^ I CI)
200
-
100
-
4
Figure 4.38
The disaibutionsof beginning and ending potentials measured in each slurry. The diamond indicates the mean,the wide bar indicates one standard deviation,and the error bars indicate the range of the distribution. The distributions include 24 wafers for the W O H slurry, 8 wafers for the NH,N03 slurry, and 10 wafers for the W C l slurry.
4.6 ELECTROCHEMICAL PHENOMENA
>
h
103
200
E
v
100
I
8
4 0 -100
I
NH,OH
I
I
€ 1
NH,NO,
I
NH,CI
Slurry Chemical
Figure 4.39
The change in potential, measured in Figure 6.8, for each slurry. The diamond indicates the mean,the wide bar indicates one standard deviation, and the m r bars indicate the range of the distribution.
age range and one standard deviation of the polishing potentials measured in each of the slurries (the figure caption indicates the sample size for each slurry). Figure 4.39 shows the change in potential from beginning to end of the polish for the data of Figure 4.38. The greatest change in potential is seen for the W O H slurry, suggesting that the most dissolution occurs in W O H . In contrast, the change in potential for the W 4 C l slurry is nearly zero, suggesting no dissolution. The dissolution rate of copper in the NH4Cl sluny is zero because copper is in the immunity region on the Pourbaix diagram where oxidation of copper is not possible. On this basis, we expect the polish rate! to be highest in W O H and lowest in NH4Cl, which is indeed the case. For the NH40H and NH4N0, slurries, the slope of the potential trace decreases as the polish proceeds. The potential is proportional to the logarithm of concentration. Consequently, for a constant rate of change in copper ion concentration, the potential will increase faster at the beginning of the polish when the copper ion concentration in the sluny is lower than at the end of the polish, when the copper ion concentration is high. Another important feature of these traces is the fact that, in both the NH40H and NH,", slurries, the first wafer polished on a new pad or the first wafer polished on a pad that has been idle for some time begins polishing at an active (low) potential that rises quickly in the first part of the trace. Figure 4.37b shows this feature on the f ist trace. For the new pad, the initial concentration
104
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
of copper and copper oxides is very low, and the initial polishing potential is correspondingly low. The fact that the potential returns to this low value only after the pad has been idle for some time suggests that the slurry is not able to fully dissolve all of the abraded material as it is polished from the surface so that some of the abraded material remains undissolved in the polishing pad. Indeed, even the conditioning and rinse cycle performed between each wafer does not fully remove all of the copper and copper oxides from the pad. Only after the pad has been left idle, soaking in 1 v018 -OH for a long period, will the potential begin at the low value that is seen with a new pad. It takes on the order of hours to fully complex all of the copper remaining in the pad. Data from the first wafers after a long soak were not used in determining the mean or standard deviation for the beginning potentials in Figures 4.38 and 4.39. To a small extent, this effect even occurs in the NH4Cl slurry because a small amount of CuC1- forms initially. However, the concentration of CuCl- quickly saturates and the potential trace becomes flat.
Polish Ram Using the results of Figures 4.37-4.39, one can predict the polish rate behavior in each of the three ammonia slurries, i.e. that the rate is highest in the NI&OH slurry and lowest in the NH4Cl slurry. Figure 4.40 shows the variation in polish rate on the wafers used to measure the polishing potential in Figure 4.38 and 4.39. Figure 4.41 shows the average polish rate and one standard deviation for each of the slurries. The results confirm the predictions, the polish rate is highest for “€&OH and lowest for NH4C1. In addition, the polish increases linearly with the change in potential, as shown in Figure 4.42. Because the rate of the change in potential is proportional to the dissolution rate (Equation (4.14)) the polish rate must also be proportional to the dissolution rate, which demonstrates the importance of dissolution in the CMP process. From the examples it is apparent that the electrochemical potential measurements during polishing are useful in determining and predicting characteristics of the polish process. Increases in
4.6 ELECTROCHEMICAL PHENOMENA
1001, 100 -100
0
,
I
2
I
,
4
,
I
I
6
8
1
I
10
,
,
I
105
,Fl
I
12 14
16
18 20
Wafer Number (a) 500 400
-- I*p.dAI
200 100 300
U
o 0r
3
* * I
1
I
2
t
I
1
I
I
I
3
4
5
6
7
8
Wafer Number
. E
500 400
v
a,
c
m
(r
r
.ln -
300 200 100
Wafer Number
F i e 4.40
The copper polish rates measured on the wafers used for the potential measurements. (a) NH,OH, (b) NH,,N03, and (c) NH.,Cl. Two different Subam N pads were used. (75 mm wafers polished on Smbaugh 6CU polisher with 2.5 wt% alumina abrasive, 3 WA. and 110 d s e c . )
106
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
NH,OH
NH,NO,
NH,CI
Slurry Chemical Figare 4.41
Figure 4.42
The copper polish rate measured in each of the Nl&X slurries. The diamond indicates the mean, the wide bar indicates one standard deviation, and the error bars indicate the range of the distribution.
AGxr ( ~ V S H E ) Polish rate vs. using data t h m Figures 4.38 and 4.40.
mixed potential indicate increases in copper ion concentration and therefore may be used to predict the dissolution rate and the polish
rate. Potential measurements may therefore be useful as an in situ process monitor. In addition, with a measurement of potential and pH, one may predict the region of copper stability from the Pourbaix diagram. Knowledge of copper stability allows prediction of surface film formation, complex ion formation, and also if the polish occurs as M P (i.e., in the Cu stability region) or CMP. For MP processes, such as with the W C l slurry, the polish rate is low because dissolution is not possible due to the fact that Cu is stable. Thus, potential measurements may be useful as both a process monitor and a process development tool.
4.6 ELECTROCHEMICALPHENOMENA
107
4.6.5 Example: Copper-Titanium Interaction
In copper metallization technologies, a h e r film between the copper and the surrounding ILD is often required as an adhesion promoter (since shear stresses in the copper film during polishing are high) and as a barrier to copper diffusion through the ILD (since copper may affect the electronic properties of the E D and underlying semicond~ctor).'~~) If the liner film polishes at a much lower rate than copper, the liner film will also act as a polish stop for the CMP of copper. An effective polish stop would (a) allow a longer overpolish step, ensuring complete removal of the copper above the ED, and (b) protect the ILD layer during the overpolish step. Titanium is known to be both an effective adhesion promoter and diffusion barrier for copper metallization, and therefore satisfies the first two requirements.") Titanium also has a polish rate much lower than that of copper when polished independently with no exposure to copper (the ratio of copper polish rate to titanium polish rate is the selectivity which is greater than 10).We expect titanium to have a lower polish rate because the hardness of titanium is roughly 2-3 times that of copper,(31)and according to Iz~rnitani.,'~~) the polish rate of metals decreases with increasing hardness (Section 4.3). However, our experiments show that the presence of copper ions in the polish slurry greatly enhances the polish rate of titanium, reducing the selectivity to as low as 2. Thus, when the titanium f i is exposed during the over-polish step, the desired selectivity between the polish rate of titanium and copper is not achieved. This section presents the experimental results of the polish rate of titanium in the presence of copper ions. The results are discussed in terms of reduction potentials and effective plating of copper on titanium surfaces causing the enhanced polish rate. Blanket Titanium Films
Copper and titanium polish rates were first measured independently on freshly dressed pads. Different new pads were used for copper and titanium polishing to prevent unwanted contamina-
108
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
tion of the pad and/or unintended interaction of the copper and titanium in the pad during polishing. The polishing was performed using 125 mm wafers polished using the nominal process conditions on the Strasbaugh polisher with Suba* IV pads. The average polish rate of copper measured on eight wafers was 633 nm/rnin. One standard deviation of the polish rate across nine points on the wafers was 32 nm/min. The average polish rate of titanium measured on four wafers was 62 n d m i n and the across wafer variation was 17 n d m i n (one standard deviation). The ratio of copper to titanium polish rates was equal to 10.2, large enough for a 100 nm titanium film to serve as an effective polish stop. Next, test wafers were fabricated with copper deposited on titanium. The copper was subsequently etched from half of the wafer, leaving titanium exposed on the etched half. The wafers were polished for 30 seconds to determine if the copper polished from one half of the wafer interacted with the titanium on the other half. Copper and titanium polish rates, measured on the same wafer, were found to be 520 nrn/dn and 252 ndrnin, respectively, giving a selectivity of 2.1. The reduction in selectivity suggests that copper polished from the wafer interacted with titanium to increase the polish rate of the titanium. In addition, the titanium appears to have caused a decrease in the polish rate of copper. Recently Evans et al(32p)have reported an extreme pattern dependence in the copper polish rate over a tantalum overlayer. Features with large perimeter to area ratios were completely removed while features with lower ratios remained. This behavior was not observed when TiN was used as the underlayer. The behavior, which is attributed to electrochemically induced interaction caused by the difference in the electrochemical potentials of tantalum and copper, is similar to the case of interaction seen between titanium and copper. To check the validity of the concept that polished copper interacts with titanium during polishing, titanium films (with no copper present on the wafers) were polished in a slurry containing Cu% ions introduced as CU(NO,),. Figure 4.43 shows the dependence of the polish rate of titanium on Cu(N03), concentration in the slurry. The polish rate of titanium increased with the addition of copper, supporting the hypothesis that (21% ions in the polishing
4.6 ELECTROCHEMICAL PHENOMENA
300
250
-
109
+
1v% NH40H slurry
200 150
0.0001
I
I
I
I
0.001
0.01
0.1
1
10
Cu (NO,), Concentration (wt%) Titanium polish rate in 1 vol% NH4 OH slurry as a function of Cu(N03), concentration. The increase in polish rate is due to an electrochemical interaction of the titanium metal with copper ions in the slurry solution. (125 mm wafers polished on Strashugh 6CU polisher with 2.5 wt% alumina abrasive, 15 WA, and 130 cdsec.)
slurry affect the polish rate of titanium. Note: Even using the conditioning cycle, removal of all of the by-products of the polish process was very difficult. It was observed that once copper had been introduced into a pad by prior copper polishing, the polish rate of titanium was always accelerated. For this reason, wafers were run in order of increasing Cu(N03), concentration to prevent high copper concentrations in the pad from skewing the results. To understand the interaction between the titanium and copper ions, the mixed potential of titanium during polishing was measured. Using a new pad, a slurry of 1 vol% NH40H, a pressure of 2.9 kPa, and a pad velocity of 50 rpm, a titanium mixed potential during polishing of -880 mV, was measured. Copper ions were then introduced into the slurry by polishing copper for 30 sec. The mixed potential of titanium subsequent to the copper polishing was -230 mV,,. Thus, the copper ions in the sluny increased the
110
MECHAMCAL AND ELECTROCHEMICAL CONCEPTS
titanium mixed potential, indicating the presence of a galvanic couple.
Galvanic Interaction Between Titanium Metal and Cu2+Ions
The discussion in Section 4.2.1 suggested that titanium dissolution may be driven by the reduction of H+,the reduction of O,, or the reduction of oxidizing agents. When titanium is polished in the presence of copper ions, copper ion reduction leads to the oxidation of the titanium. A galvanic couple is formed between the Cu/Cuz* and the Ti/Tiz* systems such that the copper ions are reduced and plated onto the titanium surface. At the same time, titanium is dissolved into solution. Thus, copper replaces the titanium on the surface. The reactions governing such a process are: (4.38)
(4.39)
For solutions with unit activities of ions, the standard reduction ,, potentials for these reactions are 0.337 ,V and -1.630 V respectively.'26' The reduction potentials in our case are shifted in the active direction however because the activities of the metal ions in solution are less than unity. Because the reduction potential of titanium is more active (more negative) than that of copper, the reduction of copper ions by the titanium metal and the concurrent oxidation of titanium metal by the copper ions will be thermodynamically favored. The interaction of titanium and copper ions during polishing may be illustrated schematically by the polarization diagrams shown in Figure 4.44. In creating Figure 4.44, we have assumed that the reduction of 0,(Equation 4.4) drives the oxidation of titanium in the absence of Cu2+ions. The data used to construct Figure 4.44 are: Mixed potential for Ti: hi= -880 mV,,
4.6 ELECTROCHEMICAL PHENOMENA
lo8
10"
lo6
10"
lo4
10'
loz
111
10"
Titanium Dissolution Current Density (A/cm2)
lo8
10"
lo6
10"
10"
loz
10.'
Titanium Dissolution Current Density (A/cm2)
Figure 4.44
Schematic =presentation of titanium dissolution during CMP. In the absence of copper ions (a), 0,reduction drives the titanium dissolution reaction, and the dissolution rate is low. With copper ions present in the slurry (b), the reduction of the copper ions drives the titanium dissolution reaction, and the dissolution rate increases.
Mixed potential for Ti with Cu ions in slurry: mvs,
= -230
112
-
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Polish rate of Ti with Cu ions in slurry: 250 n m / h Ti dissolution current density with Cu ions in slurry (from polish rate): 7.5 mA/cm2 In addition, several assumptions were required to construct Figure 4.44: - Reaction (4.26) is controlled by concentration polarization (i.e., diffusion of 0, to the surface limits the reaction rate) where the limiting current density for oxygen reduction is i, = 107 pA/~m~;('~' Reactions (4.23) and (4.37) are controlled by Tafel kinetics (i.e., diffusion of the reactants or products does not limit the reaction rate); i, = 10 pA/cm2 for reactions (4.23) and (4.26); Cu2+and Ti%concentrations are M. While these assumptions cannot be quantitatively justified, they are adequate for illustration purposes. Figure 4.44a and b illustrate how the galvanic couple between titanium and copper accelerate titanium dissolution. Figure 4.44a shows the titanium system in the absence of copper or copper ions. Titanium dissolution is given by the corrosion current density, iTpFigure 4.44b shows the Ti-Cu system forming the galvanic couple. Because the curve for the reduction of copper ions intersects the curve for titanium dissolution at a higher current density than does the curve for the reduction of oxygen, reaction (4.23) will dominate as the anodic reaction for titanium dissolution, and the titanium dissolution current will increase as a result of the Ti-Cu couple. Given the assumptions made, the titanium dissolution current should theoretically increase by a factor of 85. However, the Cu* ions plate onto the titanium surface as they oxidize the titanium. The plated copper blocks further dissolution of titanium until the copper is removed by polishing. Thus, while iTi may increase by a factor of 85 theoretically, in practice the finite copper polish rate limits kP At the high current densities suggested by Figure 4.44b, titanium dissolution and copper oxidation may be controlled by concentration polarization rather than Tafel kinetics. Under concentration polarization, the current does not increase proportional to
4.6 ELECTROCHEMICAL PHENOMENA
113
the corrosion potential (Figure 4.44b). Thus the theoretical increase in iTi of 85 may be overestimated as a result of the assumption that reactions (4.23) and (4.37) are controlled by Tafel kinetics. Note that in the absence of the Ti/& couple, Figure 4.44a predicts the titanium dissolution current density to be 89 pA/cm2. This dissolution current density translates to a dissolution rate of only 3 nm/min, which is lower than the observed polish rate of 62 nm/min. It is possible that in the absence of copper ions, most of the mechanically abraded titanium is swept away from the wafer surface without dissolving The abraded material either falls into the pad as undissolved titanium or Ti0,or is adsorbed onto the abrasive particles. If this is the case, the titanium dissolution rate will be lower than the polish rate, since not all of the abraded material dissolves. One may also speculate that copper reduction reactions other than described by Equation (4.23), are possible and occur simultaneously. In an NH40H medium, for example, the following reaction:
HCu0,-
+
4H’ + 2e--3H20+ Cu,O
(4.40)
can lead to the oxidation of the titanium surface. The standard reduction potential for Equation (4.38) is 1.78 V ,.‘”’ Next, we consider how the concentration of copper ions affects the polish rate of copper and titanium. There are essentially three rates of removal that are of interest: R, - Titanium removal by CMP, R , - Titanium removal and copper plating by galvanic couple, R , - Copper removal by CMP. In the absence of copper ions in the slurry or copper metal on the wafer, R, is the only means by which titanium is removed. As copper ions are added to the slurry, either as a by-product of copper polishing or by the addition of a copper salt such as Cu(NO,),, titanium removal also occurs via the galvanic couple increases with eU2* ions, R,. Increasing the concentration of a’+, E ~ and+moves ~ the copper reduction curve in Figure 4.44b in the noble (positive) direction. Consequently, the corrosion current density for the &%-Ti exchange reaction increases, increasing R,
114
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
and the total rate of titanium removal. However, as R, increases past R,, the polish rate becomes limited by R,, the rate at which deposited copper is removed from the surface, and therefore the polish rate saturates. Figure 4.45 depicts these limits schematically for the NJk140H + Cu(NO,), slurry. Although copper CMP using a slwry of H,O and abrasive alone is not practical because of the low solubility of copper ions in H20, it is interesting to investigate the acceleration of titanium polish rate in H 2 0 alone. Figure 4.46 shows the polish rate of titanium in water and abrasive alone. In this case, only a slight increase in polish rate is seen with small concentrations of Cu(NO,),, while at larger concentrations the polish rate appears to decrease slightly. The lack of an acceleration in the titanium polish rate in the H,O slurry is a result of the fact that the copper polish rate, R,, decreases with increasing Cu(NO,), concentration (Figure 4.47) as discussed below. The polish rate of copper decreases with Cu(NO,), concentration in water alone (Figure 4.47) because the high Cu” ion activity increases the reversible potential for reaction (4.21) according to: (4.41)
Increasing q-cuz+Icu shifts the Cu/Cu2+curve in the noble (positive) direction, decreasing the copper dissolution current density. A decrease in polish rate with Cu(NO,), concentration is not seen in the NH40H slurry because the complexing action of NH, lowers the activity coefficient of the copper ions.‘”) For the same Cu(NO,), concentration, the copper ion activity is lower in the NH40H slurries so that ECU~+/CUis lower and therefore the solubility and dissolution rate are higher, leading to a higher copper polish rate in the W O H slurries. Complexing of copper with NH, is discussed in Section 4.4.2. Figure 4.48 shows schematically the change in total titanium polish rate with Cu(NO,), concentration when R, (the CMP rate of copper) decreases with Cu(NO,), concentration. As the concentration of Cu(NO,), is increased, the decrease in copper polish rate prevents the acceleration of the titanium removal. Indeed, after pol-
4.6 ELECTROCHEMICAL PHENOMENA
0
c 0,
115
0
U
r
.0 v)
a
c
-
F
- -r - - - - - - - 0
0
0
Cu(NO,), Concentration Figure 4.45
Schematic representation of titanium polish in 1 vol% W O H plus Cu(NO,), slurry. The solid line is the total polish rate. The total polish rate is equal to R, + R, for& + R, 5 R, for R , + R, > R,.
. E
o:m7
0.001
0.01
0.1
1
10
Cu (NO,), Concentration (wi%)
Figure 4.46
Titanium polish rate in H,O slurry as a function of Cu(NO,), concentration. Samples were run in order of increasing Cu@Q),. The lack of a pronounced change in polish rate is due to the low polish rate of copper in Cu(NO,), slurries that do not contain a complexing agent such as NH,. (125 mm wafers polished on Smbaugh 6CU polisher with 2.5 wt% alumina abrasive, 15 Wa, and 130 cm/sec.)
ishing titanium in 0.1%and 1.O% Cu(NO,), + H,O, large deposits of copper are detected on the surface, indicating the inability of the CMP to remove the copper as quickly as it is deposited. Deposits
116
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Cu (NO,), Concentration (wt'h.)
Figure 4.47
Copper polish rate (independent of titanium) in H,O as a function of Cu(NQ), concenhation. The polish rate decreases with increasing Cu(NQ), concentration because the driving force for copper dissolution decreases with increasing CU" activity. (125 mm wafers polished on a Strasbaugh 6CU polisher with 2.5 wt% alumina abrasive, 15 Wa,and 130 CdSeC.)
are not detected on wafers polished in the H20 + NH40H + Cu(NO,), slurries. Measurement of the sheet resistance after these deposits were removed by etching in dilute HNO, indi-cate that the deposits did not significantly affect the sheet resistance measurement, i.e., < 3% change in sheet resistance. However, the decrease in copper polish rate in HzO with increasing Cu(NO,), concentration (Figure 4.47) does not appear to account for the low level at which the titanium polish rate is limited (Figure 4.46). Apparently, the polish rate of copper plated on the titanium surface is lower than the polish rate of a copper film polished independent of titanium. The difference is accounted for by the galvanic couple between the Cu/Cu2' and the Ti/Tiz' that occurs in the case of plated copper during titanium polishing. The galvanic couple reduces the mixed electrochemical potential of the system below the reversible potential for copper dissolution/reduction. Thus, plating rather than dissolution of copper is favored and the copper polish rate decreases. The galvanic couple also explains (1) the decrease in copper polish rate on the half Ti/half Cu wafers, and (2) the fact that the titanium polish rate in Figure 4.43 saturates at a lower rate than the copper polish rate in H20 + NH40H.
4.6 ELECTROCHEMICAL PHENOMENA
117
Cu(NO,), Concentration
Figure4.48
Schematic representation of the titanium polish rate as a function of Cu(N03), in the H,O slurry. The solid line is the total polish rate!. R, and R, are the same as in Figure 6.15; however, now R, decreases with increasing Cu(NO,), concentration, preventing the increase in titanium polish rate.
Patterned Structures During overpolishing of patterned structures, titanium near inlaid copper structures experience an even greater acceleration in polish rate. Figure 4.49 shows the surface profile of a copper line just at the point when the titanium film is first exposed. That the titanium is lower than the copper suggests that the removal rate of titanium is even greater than the removal rate of copper. When the titanium is adjacent to a recessed copper structure, the C U ions ~ will preferentially deposit onto the copper and the titanium surface will remain free to continue dissolving. Thus, the dissolution of titanium occurs more rapidly in regions adjacent to recessed copper structures. Copper ions deposit preferentially onto copper metaI instead of the titanium because the lattice mismatch between cop-
118
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
0
500
1000
1500
(Pm) Figure 4.49
Profilometer trace showing dishing of the titanium liner relative to the adjacent recessed copper metal. An electrochemical interaction between the copper metal and the titanium accelerates the normally low polish rate of titanium to produce the negative dishing.
per and titanium results in strain at the Cu-Ti interface, and consequently a higher energy and less favorable system. In addition, the polish rate of copper in the recessed structures is slowed by copper deposition which competes with the removal of copper by polishing. As a result, locally the titanium polish rate is greater than the copper polish rate for a short time when the titanium is first exposed; thus negative dishing occurs as seen in Figure 4.49. In order for the copper ion to deposit onto the copper metal, an electron current must flow from the site of the titanium oxidation to the site of copper reduction. This electron transfer process is shown in Figure 4.50. The titanium metal acts as a local anode, while the copper metal acts as a local cathode. We observe this interaction to occur within a distance of approximately 20-40 pm of a copper structure and believe that this distance is limited by the conductivity of the slurry solution. The conductivity of a 1 vol% NH40H solution is approximately 800 Q-cm.
4.6 ELECTROCHEMICAL PHENOMENA
119
Pad
Figure4.50
Electron transfer from titanium anode and copper cathode causes an increase in the polish rate of titanium and a decrease in the polish rate of copper, leading to negative dishing.
Additional Observations Two additional observations on the galvanic interaction between titanium and copper are significant. First, the same effect appears to occur when using a tantalum liner film instead of titanium. The polish rate of tantalum in the 1 vol% NH,OH slurry on the Metallographer's wheel is 83 nm/min compared to a titanium polish rate of 62 nm/min using similar conditions. However, the tantalum is observed to polish in the same rapid fashion as titanium during the overpolish of inlaid copper structures using a tantalum liner. While tantalum is not as active as titanium, tantalum is quite active compared to copper. At pH 11, tantalum oxidizes at ecom= -1.40 , V according to the equation:
T%05 + 10H' + lOe--Ta
+
5H20
(4.42)
while titanium oxidizes at E, = -1.96 VsHEand copper oxidizes at q,,,= -0.179 VSHE.(I1)Thus, as with titanium,a galvanic couple between the tantalum and the copper is likely. The observation of an accelerated tantalum polish rate in the presence of copper ions indeed suggests the formation of a galvanic couple.
120
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
The second observation is that with the addition of benzotrimole (BTA)to a nitric acid slurry the polish rates of both titanium and tantalum appear to be unaffected by the presence of copper ions. The BTA appears to suppress the galvanic interaction between the metals. One possible explanation is that the BTA surrounds the copper ions in solution and prevents interaction with the barrier metal. In the BTA slurry, the high selectivity makes the barrier metal an effective polish stop. BTA slurries are discussed in more detail in Chapter 7. 4.7
ROLE OF CHEMISTRY IN CMP
Chemistry plays a very significant role in the CMP process. Several variables listed in Chapter 3, the fluid boundary layer formation at the solid-liquid interface, chemical composition of the surface being polished, the formation of the passivating layer at the solid surface caused by an oxidizer, dissolution of the solid surface or of the mechanically abraded solid fragments or atoms/molecules of the original or passivated layer, the isoelectric point (see Chapter 5 ) related to abrasive and solid surface charge layers, effective removal or redeposition of the polished material, polished surface contamination and post-CMP passivation, and lifetime and properties of the pad all are determined by the chemical interactions induced by the chemicals in the slurry and the solid surfaces. Thus the choice of chemicals (thus of an appropriate chemistry) in making the slurry is very important. In discussing the CMP of various materials in the following chapters, several of these chemical considerations and effects will be elucidated. A few specific examples are presented here to bring out the role of chemistry in affecting the CMP results. Figure 4.51 establishes the effect of small amounts of water in the oxide film on the polish rate in slurries where there is no water.(33) Figure 4.51a shows that within the experimental error the rate of polishing in a water-based slurry (alumina abrasive having an average particle size of 0.06 p dispersed in deionized water) is independent of the water content of the SiO, films (see Figure 4.52) and that the polish rate was nearly independent of the polishing time. Figure
t!
w
(b)
(4
- A - Annealed at 90 OC for 10 min
Time (hour)
3000
~. 0--. Annealed at 60 "C for 5 min
Time (min)
Annealed at 900°C for 10 min
As deposited
Polished thickness of silica frlms as a function of a polishing time. (a) Water-based slurry containing alumina abrasive, and (b) oil-based slurry containing diamond paste. (From Ref. (33).)
- A-
-
3000
Figure 4.51
-m-
-
3500
122
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
Asdeposited (Cm = 1.Owt%)
0.752 r\/600°C-5
1
0.728
0.720 3800
7
min (C, =
L
I 1°C- 0 min (Con = 0.0 Wh)
3700
3600
3500
3400
Wavenumber (cm')
Figure 4.52
Infrared absorption spectra of silica film (PECVD) as a function of heat treatment. The large peak at 3672 cm-' is due to hydroxyl water. (From Ref. (33).)
4.51b, on the other hand, shows that the rate of polishing in oilbased slurry, with submicron diamond abrasive, depends on the water content of the film. Figure 4.52 shows the infrared absorbance spectra of the SiO, films, for which the results are shown in Figures 4.51a and b. It is obvious that the film with higher water content has higher polishing rate and the polish rate is a function of time. The difference was not discernable in water-based slurry because of water affecting the surface layer of the oxide, possibly penetrating and thus increasing the water content in all films in an analogous way. It is likely that a high concentration of water enters the oxide almost instantaneously and affects the properties. Water is known to influence a variety of properties of silicate glasses.(34-40) Thus one or more water-assisted polishing mechanisms are conceivable. One is a chemical process: water in glass promoting the dis-solution of water. The other is a mechanical effect: water reducing the hardness and promoting the plastic deformation, making the mechanical removal of material easier. It is also noted that water in silica exists in at least two different forms: hydroxyl water and molecular water. These two different types of water can
4.7 ROLE OF CHEMISTRY IN CMP
123
have different influences on polishing mechanisms, a difference very difficult to establish. Note that the effect of water on the pad chemistry was also postulated to soften the pad material (see Figure 4.22).
Golini and Jacobs(15)examined grinding of glasses using micron and submicron size abrasives and various slurry combinations made with water and/or a variety of alcohols with an increasing number of carbon atoms in a homologous series of nalcohols. The dielectric constant and the molecular size of the alcohols decreased and increased with increasing number of carbon atoms in the alcohols. The slurry dielectric constant was shown to correlate with the grinding mode of materials removal, primarily due to the relationship between the dielectric constant and the zeta potential. The zeta potential could be defined as follows:'41' "When there is relative motion at a solid-liquid interface in a direction parallel to the interface, the diffuse part of the double layer (see Section 4.3) on the liquid side is sheared off along some plane close to the surface. As a result a potential, called the zeta potential, is developed between this plane and bulk solution. The zeta potential is related in both sign and magnitude to the surface charge residing in the near-surface region of the solid. Hence, the zeta potential provides an indication of the electric field associated with the presence of the adsorbate, and sensed by the charge carriers in the near-surface regions of the solid." It is noted that the concept of zeta potential is also helpful in understanding the processes to remove particles from surfaces. Recently Hayashi et al.'42) have studied the effect of adding an NH4-salt to an NH,OH or acetic-acid-based silica slurry on the polish rate of SiO, fiims. Figure 4.53 shows their results. A considerable increase in the SiO, polish rate was observed for all slurries (in the pH range of 6-9) by the addition of small amounts of the ammonium salt. The results were explained on the basis that an addition of salt to the slurry of pH=6-7 led to the reduction of the electrical double layer on the abrasive silica particles. This in turn promoted the agglomeration of the abrasive particles and increased the polish rate.
124
MECHANICAL AND ELECTROCHEMICAL CONCEPTS 3000 I
-0
Figure 4.53
4.8
0
I
0.1
0.3 Salt Concentration [rnol/l] 0.2
Polishing rate of SO, films as the function of the salt concentration with various pH values of the slurry. (From Ref. (42).)
ABRASIVES
Abrasives play a key role in the CMP process. They are responsible for mechanical abrasion of the surface being polished and also for so-called pad glazing discussed in the previous section. They also determine the surface-charge-related interactions between the slurry, the surface being polished and the pad. Of the several properties of the abrasives, the isoelectric point (IEP)has been given most attention (see Chapter 5). has found that the polish rate of glasses is a strong function of the IEP. As shown in Figure 4.54, of the various abrasives used, the polish rate is at maximum with abrasives that have IEP near a value of 7 (neutral pH value). The result is similar to one observed for thin SiO, films polished with alumina abrasive in a slurry with varying pH (see Chapter 5). The pH of the slurry, when compared with the IEP, determines the charge state around the surface of particles. This in turn may determine (a) the state of agglomeration in the slurry and (b) the solubility of the abrasive material in the slurry. Thus there is a close relationship between the type of abrasive, its IEP,and the pH of the slurry. The hardness, size, shape, and concentration of the abrasive particles also play an important role in determining the polish rate, the final surface quality, and the resulting stress in the polished surface. Table 4.5 lists the various properties of the abrasives that must be considered for optimizing the CMP process.
REFERENCES
Figure 4.54
125
lsoelectric pH Polish rate of glass as a function of the isoelectric pH of various abrasives. (From Ref. (43).)
Table 4 5 Properties of Abrasives that Need Consideration
Mechanical properties Type Size, coagulation Concentration volume Surface
Physical shape Isoelectriic pH - charges on surface, zeta potential Chemical activity with surrounding materials Specific choices-relationship to materials to be polished, pad, and slurry chemistry
It is noted that the concept of zeta potential, introduced in the previous section, should also be correlated to the abrasives.
REFERENCES 1.
F. Preston, J. SOC. Glass Tech. 11,214 (1927).
126
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
2.
N.J Brown, P.C. Baker, and R.T. Maney, Proc. SPIE 306, 42 (1981). L.M. Cook, J. Non-cryst. Solids, 120,152 (1990). J.M. Steigerwald, A Fundamental Study of Chemical Mechanical Polishing of Copper Thin Films, PhD Thesis, Rensselaer Polytechnic Institute, Troy, NY (1995). F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie. D.J. Pearsons, and M.B. Small, J. Electrochem. SOC.138,3460, (1991). S.P. Murarka, Metallization Theory and Practice for VLSI and ULSI, Butterworth-Heinemann, Boston, (1993), 100. S.R.Runnels and L.M. Eyman, J. Electrochem. SOC.141, 1698 (1994). T.K.Yu, C.C. Yu, and M. Orlowski, in Proceeding of the 1993 International Electron Devices Meeting, (1994), p. 35.4.1. J.B. Hudson, Surface Science: An Introduction, Butterworth-Heinemann, Boston (1992). D.A. Jones, Principles and Prevention of Corrosion, Macmillan Publishing Company, New York (1992). M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, NACE, Houston, TX (1975). F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie. D.J. Pearsons, and M.B. Small, J. Electrochem. SOC.138,3460 (1991). H.H. Uhlig and R.W. Revie, Corrosion and Corrosion Control, John Wiley & Sons Inc., New York (1985). L.M. Cook, Roc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarization, SRC, Research Triangle Park, NC (1992), proc. vol. #P92008. D. Golini and S.D. Jacobs, Appl. Opt., 30, 2761 (1991). R. Jairath, M. Desai, M. Stell, R. Telles, and D. ScherberBrewer, Mat. Res. Soc. Symp. Roc. 337, 121 (1994). Rode1 Corp., 451 Bellevue Road, Newark, DE 19713. R. Jairath, J. Farkas, C.K. Huang, M. Stell, and S-M. Tzeng, Solid State Technol., 37, 71 (1994).
3. 4.
5. 6. 7.
8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18.
REFERENCES
19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 32a. 33. 34. 35.
127
S.P. Murarka and R. Gutmann, 1994 Annual Report of the New York State SCOE, Semiconductor Research Corporation, Research Triangle Park, NC (1994). D.R. Askeland, The Science and Engineering of Materials, Wadsworth Pub. Co., Belmont, CA (1984). TA Instruments, Inc., New Castle, DE 19720. S. Morimoto et al., Electrochem. SOC.Extended Abstr., 932 1 (297) (1993). S. Morimoto, U.S. Patent 5,104,828 (1992). J.M. Hogan, C.J. Pearson, T.H.Rogers, and J.R. White, J. Cell. Plast., September/October, 219 (1973). P. Renteln and J. Coniff, in Mat. Res. SOC.Symp. Roc. 337, 105 (1994). CRC Handbook of Chemistry and Physics, CRC Press Inc., Boca Raton, FL. C.E. Mortimer, Chemistry, Wadsworth Pub. Co., Belmont, CA, (1983) pp. 371-427. H.E. Johnson and J. Leja. J. Electrochem. SOC.112, 638 (1965). S.Q. Wang, MRS Bulletin, (8), p. 15 (1994). S.P. Murarka, in Tungsten and Other Advanced Metals for VLSI Applications in 1990, eds. G.C. Smith and R. Blumenthal, MRS, Pittsburgh (1991) p. 179. N. Stoloff, Rensselaer Polytechnic Institute, private communication. T. Izumitani, in Treatise on Materials Science and Technology, eds. M. Tomozawa and R. Doremus, Academic Press, (1979) p. 115. D.R. Evans, Y. Ono, J.-F. Wang, A.R. Sethuraman, and L.M. Cook, in Conf. Roc. ULSI XI 1996, (MRS,Pittsburgh 1996), p. 717. M. Tomozawa, K. Yang, H. Li, and S.P. Murarka, Mat. Res. SOC.Symp. Roc. 337, 89 (1994). R.F. Bartholomew, in Treatise on Materials Science and Technology, Vol. 22, Glass III, eds. M. Tomozawa and R.H. Doremus, Academic Press, New York (1982), p. 75. M. Takata, J. Acocella, M. Tomozawa, and E.B. Watson, J. Am. Ceram. SOC. 64, 719 (1981).
m,
128
MECHANICAL AND ELECTROCHEMICAL CONCEPTS
36.
M. Tomozawa, C.Y. Erwin, M. T h t a and E.B. Watson, J. Am. Ceram. Soc. 65, 182 (1982). M. Takata, M. Tomozawa, and E.B. Watson, J. Am. Ceram. SW. 65, C-156 (1982). J. Acocella, M. Takata, M. Tomozawa, E.B. Watson, and J.T. Warden, J. Am. Ceram. Soc. 65, 407 (1982). M. Tomozawa, M. Takata, J. Acocella, E.B. Watson, and T. Takamoti, J. Non-cryst. Solids 56, 343 (1983). M. Tomozawa, J. Non-crystal. Solids 73, 197 (1985). A.R.C. Westwood and N.H. Macmillan, in Science of Hardness Testing, ASM, Metals Park, OH (1973), p. 377. Y. Hayashi, M. Sakurai, T. Nakajima, K. Hayashi, S. Sasaki, S. Chikaki, and T. Kunio,in Extended Abstracts of the Int. Conf. Solid State Devices and Materials, Yokohama, Japan (1994), p. 934. A. Kaller, Mschr. Feinmech. Opt. 79, 135 (1962).
37.
38. 39. 40.
41. 42.
43.
CHAPTER 5
-
OXIDE CMP PROCESSES MECHANISMS AND MODELS
One of the first and the most widely used CMP process, aside from the final step in the preparation of silicon wafers, is oxide CMP for back-end planarization after the initial oxide ILD deposition and between metal levels. As a result, oxide CMP is the most mature process, with the most fundamental studies having been performed in this area. Indeed, much of our understanding of the C M P of metals and other materials is derived from our understanding of oxide CMP. This chapter first presents the current understanding of the oxide CMP fundamentals. The discussion includes the mechanisms of both material removal and surface planarization. The second part of the chapter is devoted to the practice of oxide CMP, including reported results on planarization and polish rate performance of oxide CMP processes in industry. In addition, process integration, cost of ownership, manufacturability, and yield issues will be discussed.
129
-MECHANISMS AND MODELS
130
OXIDE CMP PROCESSES
5.1
THE ROLE OF CHEMISTRY IN OXIDE POLISHING
Oxide CMP has often been described as an enabling technology because the high degree of planarkation generated with CMP eases the burden of advanced lithography and etch processes.'') However, a CMP process that is not stable and well controlled is likely to result in more problems than solutions. In order to develop stable CMP processes and to control these processes in manufacturing, a good understanding of the process fundamentals is required. Much of the current understanding of oxide CMP fundamentals is derived from studies of glass polishing and grinding in the preparation of optical lenses. Note that the silicon oxides used for IC fabrication are a form of silicate glass, and therefore oxide polishing is a specialized form of glass polishing. Glass polishing is a well-established practice. Glass polishing is perhaps the first technique known to mankind, that produces well-fished, smoothened even, optically flat surfaces. Natural shaping of minerals and rocks is as much a result of the erosion as much of the grinding. However, even in this area, there remain many unanswered questions. Glass polishing techniques have often been derived from trial and error rather than from a fundamental understanding of the process. Several attempts have been made in the past several decades to formulate the mechanisms and roles of various variables in establishing an understanding which still alludes us. In the same manner, thin film CMP processes have been derived from trial and error, with the fundamental studies performed subsequently. With the studies to be discussed in this section, the process of understanding oxide CMP has been initiated, however, there remains a considerable amount of work before a good understanding of CMP fundamentals is reached.
5.1.1
Glass Polishing Mechanisms
In polishing glass for optical use, the lens crafter is primarily interested in smoothing the surface. There have been several proposed mechanisms by which the glass surface is made smooth during polishing as reviewed by Holland(*)and IZ~mitani.(~) These
5.1 THE ROLE OF CHEMISTRY IN OXIDE POLISHING
131
include (1) a wear mechanism, (2) a flow mechanism, and (3) a chemical mechanism. The wear mechanism suggests that the glass surface is mechanically removed during polishing. The surface is made smooth because material is removed primarily at the high points, while the low points do not experience wear. In the flow mechanism, the glass flows during polishing so that the material at the peaks in the surface roughness simply flows into the valleys until the surface is smooth. The chemical mechanism suggests that dissolution of the surface is accelerated by the high pressure exerted by the abrasive particle on the surface during abrasion. The high areas dissolve faster due to the increased pressure. By examining the correlation between hardness, softening point, and chemical durability of various glasses, I~umitani'~) determines which of the three mechanisms dominate. The 18 optical glasses listed in Table 5.1(3)were chosen to obtain a wide selection of hardnesses, softening points, and chemical durabilities These glasses are either silicate (Si0,-based) or borate (B,03-based) glasses and differ in the concentration of modifier ions (such as Pb2+and Lak). While these glasses are not used in IC manufacturing, the fact that their physical properties (i.e., hardness, softening point, and chemical durability) vary significantly is useful in elucidating the polishing mechanism of all glasses, including the oxides used for ILDs in IC manufacturing. If polishing occurs primarily as a wear mechanism, than the polish rate should vary with the hardness of the glass. Hardness is a measure of a glass' resistance to wear. Figure 5.1(3)shows the polish rates vs. hardnesses of the glasses listed in Table 5.1. The hardness was determined by Vickers microhardness using a 25 g load for 15 secs. The fact that polish rate does not appear to correlate to hardness suggests that simple wear is not the polishing mechanism. If the polishing mechanism is primarily a flow mechanism, than polish rate should vary with the softening point of the glass. Softening point is a measure of a glass's resistance to flow. Figure 5.2(3)shows the polish rate vs. softening temperature of the glasses listed in Table 5.1. The softening point is defined here as the deformation temperature of the expansion curve. The fact that polish rate does not correlate to softening point suggests that flow is not a polishing mechanism.
OXIDE C M P PROCESSES -MECHANISMS AND MODELS
132
Table 5.1 Ropedes of Various Glasses‘” Vickers Micro-
Glass Point(OC)
@&!bd
BK7 KF2
707 627 707 689 413
sK2 SK16 SF6
FK1 SF13 KF3 BaFW BaK4 F3
LaLF2 LaK12 LaLK3 CdFl
TaF2 LaKlO CdsF3
Acid Resistance Water Resistance (46 Weight Loss) (%Weight Loss)
hardness
softening
615 490 700 680 470 75 480 500 580 620 480 675 670 650 650 685 670 650
0.08 0.07 0.7 3.3 1.3 19
0.13 0.07 0.05 0.58 0.03
0.34 0.04
0.02
0.11 0.43
0.04
1.3 1.7 1.9 1.o 0.74 1.2 0.76
0.25 0.35 0.7 0.01 0.01 0.02 0.01
666
437 627 613 657 548 803 743 762 824 847 803 803
0 SK16 0 LaKl2
h
. 5 .-c
E
v
0.4
0.05
-
al c
a
LaLK3
KF2
0 SF6
Q
0
.c 0.3 r co .-
0
0 LaLF2
0 FK1
0
OBK7
LaKlO 0 0 CdFI
0 SK2
0
a I
I
I
I
cdsF3 0 TaF2 0 1
Microvickers Hardness (kg/mm2)
Figure 5.1
Polish rate vs. Vickers microhardnessof various glasses listed in Table 5.1. (FromRef. (3).)
5.1 THE ROLE OF CHEMISTRY IN OXIDE POLISHING
133
0 SK16 0 LaKl2
.-
1
0’3
v)
0
n
cF
OLaLK3
,
,
, g7
0 LaLF2
0
LaKl?
CdSF3 OTaF2
500
550
600
650
700
Softening Point (“C)
Figure 5.2
Polish rate vs. softening tempemture of various glasses listed in Table 5.1. (From Ref. (3).)
If polishing occurs primarily as a chemical mechanism, than the polish rate should vary with the chemical durability of the glass. The chemical durability of a glass is measured by the weight loss of the glass in either water or dilute acids. Weight loss results because the heavy modifier ions are leached from the glass surface and replaced with lighter hydrogen ions. Table 5.1 shows the weight loss of the various glasses in both water and a 0.01 N HNO, solution. Figure 5.3a shows the variation in polish rate with weight loss in water and in 0.01 N HNO,. For Figure 5.3b, an acidic slurry was used to polish the glass. Here a correlation does exist between polish rate and chemical durability, suggesting that chemical reactions do influence the polish mechanism. Chemical leaching, in either H,O or dilute acids, modifies the glass surface and changes the surface hardness. The Figure 5.4(3’ shows the Vickers microhardness vs. leaching time in an 0.1 N HC1 solution for several glasses. Figure 5.9,) shows the polish rate vs. the surface hardness of glasses measured after leaching in 0.1 N HCl. Here the polish rate does correlate to hardness. Note that the polish rate does not correlate to the hardness of the unleached glass (Figure 5.1) because leaching occufs during polishing (to a different extent for each glass) to soften the glass surface. Therefore, the hardness measured for Figure 5.1 is not indicative of the true surface hardness during polishing. The chemical effect softens the surface to make the surface easier to abrade.
OXIDE C M P PROCESSES
134
-MECHANISMS AND MODELS
(a) Percentage Loss in Weight (Water)
h
.-C
. 5. E
0.5
Y
0, c
2
0.4
m K 3 v) .o 0.3
a
I
I
0.1
I
0.3
I
1 .o
I
3.0
(b) Percentage Loss in Weight (N/100 HNO,)
Figure 5.3
Polish rate vs. weight loss after leaching in (a) water and (b) 0.01 N HNO,of various glasses listed in Table 5.1. (FromRef.
Leaching Time in Nil0 HCI (hr)
Figure 5.4
Vickers microhardness vs. leaching time in 0.1 N HCl for several glasses. (From Ref. (3).)
5.1 THE ROLE OF CHEMISTRY IN OXIDE POLISHING
135
I
LaK12 0 LaKlO
BK7
I
I
200
400
\
LaLF2 \SF3OCd
0 TaF2
KF2 I
600
0 CdFl
800
Vickers Microhardness (kg/mm2)
Figure 5.5
Polish rate vs. the surface hardness of glasses measured after leaching in 0.1 N HCL (From Ref. (3).)
Izumitani suggests that the difference in polish rate between the silicate and borate glasses is due to the leaching rate of the two series. The borate glasses leach faster, and because polishing occurs on a very short time scale, the higher leaching rate of the borate glasses leads to a greater chemical effect.. Thus, polishing appears to occur as a combination of a wear mechanism and a chemical mechanism. To support the hypothesis that polishing occurs as a combination of wear and chemical reactions, Izumitani examined the polish rate of glasses in oil and in a dry (abrasive only) medium. Figures 5.6(3)and 5.7(3)show the polish rate of the glasses vs. Vickers microhardness for oil polishing and dry polishing, respectively. The hardness plotted in Figures 5.6 and 5.7 is the surface hardness during polishing. Thus the surface is not chemically modified by leaching in either oil or dry polishing, and the polish rate in these media is proportional to hardness, unlike the case of water polishing. In addition, the glass polish rate is substantially lower in oil and dry polishing than in water polishing. In oil and dry polishing, the polishing mechanism is wear only (because the chemical mechanism is absent), so that the polish rate is proportional to the hardness of the unleached glass, and the rate is substantially lower than with a chemical plus wear mechanism. In the
136
OXIDE CMP PROCESSES
C .E
. 5.
0.15
v
Q) * RI U
cn 0.10 c E rn
.0
a 0.5
-MECHAMSMS AND MODELS
-\ -
BaF4.
SK16 0 LaLK3
BK?
\
-
CdFl I
I
I
I
I
Vickers Microhardness (kglmm’)
Figure 5.6
-.r E
Polish rate vs. Vickrs microhardness in oil slurry. (FromRef. (31.)
0.20
\
5.
0.15
-1 -
:\
mF4 OBaK4
4-
F3
lu
a 0)
.E 0.10 c
-
.-rn 0 a
KF3
0.05
400
0K7
0 LaLK3
Sz6*\
I
I
I
500
600
700
CdF’
O 3 TaF2 800
Vickers Microhardness (kg/mm2) Fignre 5.7
Polish rate vs. Vickrs microhardness in dry sluny. (From Ref. (31.1
next section, the nature of the chemical component and the role of water in the polish mechanism will be discussed. 5.1.2
The Role of Water in Oxide Polishing
Izumitani demonstrated that water is important to the polish mechanism because it provides the chemical component of the polish. During polishing, water entry into the oxide surface has the effect of softening the glass surface (Figure 5.4). The importance of water to glass and oxide polishing has been demonstrated by
5.1
THE ROLE OF CHEMISTRY IN OXIDE POIJSHING
137
other workers as well.'46) This section first describes how water enters the oxide during polishing and then describes the chemical processes that lead to the softening and eventual removal of the oxide surface during polishing. To understand how water enters the glass during polishing, Tomozawa et al." investigate the water content of glass subject to indentation under various conditions. Figure 5.8" shows the infrared (IR) absorbence spectra at various locations of a Knoop indentation mark made on a glass sample using a 50g load in air. The absorbence peak at 3360 cm-' represents water in the glass. During indentation, moisture from the air enters the glass under the load imposed by the indenter. Water entry is greatest in the center of the indentation mark, where the load is greatest, and least at the edge of the indentation, where the load is least. Figure 5.g4)shows a similar experiment in which the glass is indented in various liquids with a Knoop indenter under a lOOg load for 30 sec. Here, indentation under water also leads to water entry. However, water entry does not occur with indentation under other liquids. Cook(' suggests that water entry under the load imposed by the indenter is similar to water entry under the load imposed by the abrasive particle. As the abrasive particle moves across the surface, a strain field develops in the glass surface due to the load and velocity of the particle, with compressive strain in front of the particle and tensile strain behind the particle. In front of the particle, hydrostatic pressure leads to water entry into the oxide as the abrasive pushes water into the surface. However, diffusion of water into the oxide is inhibited by the compressive strain occurring in front of the particle. The diffusion coefficient of water decreases exponentially with compressive strain and increases exponentially with tensile strain. The difference between the traveling indenter (i.e., the abrasive particle) and the static indenter (i.e., the Knoop indenter) is the tensile strain that occurs in back of the traveling indenter leads to accelerated diffusion of water into the oxide. Thus, one of the functions of the abrasive particle is to pump water into the oxide surface. Water enters the oxide under the influence of the hydrostatic pressure in front of the particle and diffuses further into the oxide in back of the particle. The depth to which water diffusesinto the oxide is a function of abrasive particle m
138
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS 2500
0.026 0.014
0.002
D
Figure 5.8
c
0 A
Infrared (IR) absorbance spectra at various locations of a Knmp indentation mark made in air. (FromRef. (4)J toluene
-
.-c
h
formamide
3
7~
____I hydrazine
I
4000
I
A
3000
2000
Wavenumber (cm-’)
Figure 5.9
Infrared (IR) absorbance spectra at various locations of a Knoop indentation mark made under various liquids. (From Ref. (4).)
5.1 THE ROLE OF CHEMISTRY IN OXIDE POLISHING
139
P (kg/cm2) = 2.00
^plopp
1
"0
2
3
4
5
Particle Diameter (pm) Figure 5.10
Diffusion depth of water into glass during polishing as a function of abrasive size and pressure. (From Ref. (9, with kind permission of Elsevier Science).
size and applied pressure. Cook has calculated the diffusion depth to be 0.5 -12 nm, as shown in Figure 5.10.'% Water entry into the oxide surface weakens the glass network by breaking Si-0 bonds. As a consequence, the hardness of the glass surface is reduced by water entry. The general reaction for the interaction of Si-0 and H,O is: I
Si-O-Si = + H2(30 = Si-OH
(5.1)
Water diffusion into the oxide, results in the breaking of Si-0 bonds within the hydrated layer forming Si-OH (broken links). Near the bulk, most of the Si-0 bonds in the hydrated layer will be linked to the glass network forming Si-0-Si links. Near the oxide surface, however, many of the Si-0 bonds will be hydrated. Once all of the S i - 0 bonds for a given Si atom are hydrated, Si(OH), is
140
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
formed which is highly soluble in water at high pH (
Reactions (5.1) and (5.2) are accelerated by the compressive stress imposed into the surface by the abrasive particle. Thus, the strain fields generated by the abrasive particles serve to introduce and diffuse water into the surface and then to accelerate the chemical reaction of the water with the glass network, which initially breaks down the glass network in the hydrated layer and eventually leads to dissolution of the network at the surface. An additional consequence of the strain fields developed in the oxide surface is the effect of strain on Si(OH), solubility. Solubility increases as a result of compressive stress and hydrostatic pressure, and decreases as a result of tensile stress. Thus, as the abrasive particle moves across the surface, Si(OH), solubility is high in front of the particle and low behind the particle, so that dissolution occurs in front of the particle, while condensation occurs behind the particle. Net material removal results only when the dissolution rate is greater than the condensation rate.Q Indeed, samples have been observed to gain weight at the end of polishing when the pressure is suddenly decreased as the sample is lifted from the polishing surface.(% A net removal rate during polishing therefore requires that some of the dissolved %(OH), be removed from the vicinity of the surface. Dissolved Si(OH), may be swept away from the vicinity of the surface by the turbulent motion of the slurry or by a variety of other mechanisms including (1) adsorption onto the abrasive particle, and (2) precipitation and formation of colloidal SiO, which is swept away from the surface by the turbulent motion of the slurry. 5.13 Chemical Interactions Between Abrasive and Oxide Surface
Chemical interactions between the abrasive particle and the oxide surfam occur and may result in highly accelerated polish rates. Ceria abrasive, for example, exhibits a chemical tooth proper-
5.1 THE ROLE OF CHEMISTRY IN OXIDE POLISHING
141
ty which accelerates the polish rate of glass. Cook estimates that ceria is 5x10' times more efficient than silica at removing Si(OH), molecules from the oxide surface (in t e n of molecules removed per collision), with a resulting polish rate 43 times greater for ceria than for silica abrasives. This section describes the nature of the chemical interaction which leads to the accelerated polish rate with ceria. the Cook gives five reaction steps important in dete-g rate of mass transport during polishing. These are: 1. Water is moved into the glass surface; Water reacts with the surface leading to dissolution under 2. the influence of the applied load; 3. Some dissolution products adsorb onto the abrasive particle and are moved away from the surface; 4. Some dissolution products redeposit back onto the surface; Surface dissolution occurs between particle impacts. 5. To assist in the removal of the material from the surface, some abrasive particles exhibit a chemical tooth property. Ceria and zirconia accelerate the removal of SiO, by chemically reacting and bonding with the SiO, surface. Bonding between the SiO, surface and the abrasive occurs because the free energy of formation of CeO, (Mf= -260 kcal/molem) and ZrO, (AHf = -260 k~aVmole(~)) is less than the free energy of formation of SiO, (AHf= -216 kcal/molem); therefore, the ceria and zirconia abrasives are able to reduce the SiO, and bond with the surface. Bonding between the abrasive and atoms at the surface increases the shearing force of the abrasive particle, increasing the probability that material within the indentation volume will be removed from the surface. In addition, because the abraded material remains bonded to the abrasive, the probability that the abraded material will be removed from the vicinity of the surface increases. Consequently, ceria and zirconia abrasives yield greater removal rates than abrasives that do not exhibit the chemical tooth action, such as diamond. Besides free energy of formation, several factors influence whether a given abrasive will exhibit a strong chemical tooth property. To understand these factors, we discuss the interaction of the abrasive with the oxide surface. In an aqueous solution, a SiO,
142
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
surface will develop a net charge due to the formation of the charged species Si-OH? and Si-0- at the surface. Equilibrium of these species at the surface is given by:
-
At pH 9.8, equirnola~concentrations of the charged species occurs. It is at this point that maximum polish rates and smoothness are observed for polishing with active compounds such as ceria and zirconia. Cook discusses the chemical tooth effect of ceria and zirconia in terms of their isoelectric point (JEP). The IEP of a hydrated oxide surface is the pH at which the net surface charge is zero, i.e., there are equal concentrations of positively and negatively charged species at the surface. There are many factors that determine the JEP of a solid surface. IEP values for many oxides are given by Parks.@) Table 5.2 lists some of the JEP values of interest. The IEP for ceria (IEP = 6.8) and Zitconia (IEP = 6.2) are close to the pH = 9.8 given for maximum polish rates above as compared to silica abrasive (IEP = 2.2). Thus, when polishing at a pH in the range of 9.8, the ceria and zirconia abrasive are nearly charge neutral, whereas the silica abrasive has a strong negative charge. Thus, the surface of the ceria and zirconia abrasives are amphoteric and able to adsorb both positively and negatively charged species. In addition, because the abrasive is not charged, no ionic double layer forms at the particle surface, resulting in a maximum hardness of the particle ~urface.'~)It has been observed that polish rate increases with abrasive particle Cookcs, proposes a reaction sequence that accounts for the role of both water and the chemically active abrasive. The reaction sequence is illustrated in Figure 5.1 1 and is described by Cook as foll0Ws:'s (a) "Abstraction of a proton from a hydroxylated siliconoxygen tetrahedron by a hydroxyl in solution forms a surface Bronsted base (Si-0-) and a water molecule." (b) "Reaction of Si-0- and M-OH (M representing the metal cation of the abrasive) sites occurs, releasing a hydroxyl and forming a Si-0-M linkage."
5.1
THE ROLE OF CHEMISTRY IN OXIDE POLISHING
Table 5.2
143
Selected ~soele~tric points (IEP) of solids of Interest'*' ~~
Abrasive
IEP 5,6.6,9.2 7.4-8.6 7.7-9.4 5.4-7.5 3.8-5.2 5.4-9.3 5-8 6.75 9.5 f 0.4 9.4 f 0.4 7.6 1.5-3.7 3.5-6.7
0.3-0.5 8.95 4-11 6.7
Generally Accepted Value of IEP 9 8 9.1
5.0 9.25 7.5-8
2.2 4.7 6.2 0.5 8.95
(c) "As the particle moves away from the bonding site, the freshly formed Si-O-M bond is strained, leading to bond rupture with several possible outcomes. If the M - 0 bond strength is lower than that of Si-0, the oxygen will remain with the silicon. Alternatively, if the M - 0 bond is stronger, the silica tetrahydon will remain bonded to the particle surface, leading to accretion of silica on the particle surface. Strain energies are expected to be insufficient to effect rupture of multiple siloxane linkages. However, for the case of a bonded silica tetrahedron having only one remaining siloxane linkage to the network, elastic recoil and release of strain energy following rupture of the M-0 bond can promote scission of this last bridging oxygen of the tetrahedron, releasing a positively charged intermediate species with the oxygen being retained on the silica surface."
OXIDE CMP PROCESSES
144
-MECHANISMS AND MODELS
n
I
Legend
Figure 5.11
(d)
I
Reaction sequence between water, surface, and abrasive. (From Ref. (3,reprinted with kind permission of Elsevier Science.)
"The adjacent molecular water and hydroxyl rapidly react with both the particle surface and the free silica intermediate to reform M-OH on the polishing particle surface and a free silicic acid molecule (Si(OH),)."
5.1
THE ROLE OF CHEMISTRY IN OXIDE POLISMNG
(e)
145
"Ondissociation, the silicic acid molecule can then rebond
to the glass surface, or form a complex with adjacent metal ion sites on the polishing particle surface via hydroxyl exchange. Such anion complexation is most efficient at the pK, of the conjugate acid of the [ 101 For silicate (glasses), this maximum occurs at pH = pK, = 9.8, the pH at which maximum polishing rates with CeO, and ZrO, are observed. The complexed silicic acid molecule may in turn be displaced by hydroxyl, allowing it to rebond at another surface site, etc. Thus, weakly complexed silicate ions stream off the particle surface into the liquid at the trailing edge of the particle, where they may either repolymerize back onto the glass surface or form colloids in solution." Thus, bonding between the chemically active abrasive and the SiO, surface increases the shearing force of the abrasive and hence the polish rate. Because of the accelerated polish rates and increased smoothness observed with ceria, ceria is often used as a polishing compound in glass polishing. However, because oxide CMP evolved from CMP of silicon for the preparation of wafers, the silica abrasive used for silicon CMP has been utilized almost exclusively for oxide CMP.One study by Jairath et al.,'") however, examines the use of ceria in oxide CMP. Figure 5.12'"' compares the oxide polish rate vs. normalized polishing stress (a function of velocity and pressure) between a slurry of fumed silica abrasive and a slurry of ceria plus silica abrasives. As expected, the polish rate with the ceria slurry is greater (approximately 3 times) than the polish rate with the silica slurry. However, for planarization, polish rate is not the only criterion. Indeed, the most important performance indicator is planarization ability. Figure 5.13'"' shows the polish rate of the high areas and the low areas vs. time for both ceria and silica abrasives. For both slurries, the polish rates of the high areas and the low areas converge, with polish time decreasing the planarization selectivity (selectivity is the polish rate in the high areas divided by the polish rate in the low areas). This is to be expected, however; as the surface planarizes, the difference in height between the low areas
146
OXIDE CMP PROCESSES
-RI _ _ I
-MECHANISMS AND MODELS
n/
E
Precipitated silica slope = 0.550
a
0.2
O;
I
I
0.2
0.4
I
0.6
0.8
1
Normalized Polishing Stress
Figure 5.12
Oxide polish rate vs. normalized polishing stress (a function of velocity and pressme) for slurries of fumed silica abrasive and ceria plus silica abrasives. (From Ref. (ll).)
and the high areas decreases. Thus, the force of the pad on the low areas increases while the force of the pad on the high areas decreases. From Preston’s equation, the polish rates should converge. Indeed, once the surface is fully planar, the polish rates should be equal. In the case of ceria abrasive, even though the polish rate is accelerated in the high areas, the polish rate is also accelerated in the low areas. As a result, the planarization selectivity is lower for ceria (5.3 at 30 secs and 1.4 at 150 secs) than for silica (15 at 30 secs and 3.1 at 150 secs). In the given example, approximately the same amount of time is required to planarize with the silica and ceria slurries, however, by virtue of the higher ceria polish rates, more oxide is removed with the ceria slurry. Consequently, more oxide must be deposited with the ceria slurryJeading to higher dep-
5.2 OXIDE CMP IN PRACTICE
147
Accumulated Polish Time, seconds
Figure 5.13
Polish rate of the high areas and the low areas vs. time for both ceria and silica abrasives. (FromRef. (1l).)
osition costs. Thus, even though the polish rate is higher with the ceria slurry, the planarization rate does not improve. In addition to polish rate and planarization rate considerations, if silica is to be replaced with another abrasive (assuming adequate selectivity is maintained), other important process metrics, such as oxide damage, the effect on pad lifetime and conditioning, post-polish clean, and polish rate uniformity across wafer and wafer-to-wafer, must be considered. 5.2
OXIDE CMP IN PRACTICE
Although CMP shows great promise, transition of CMP from the research lab onto the production floor has not been easy. As discussed earlier, much of the understanding of CMP processes
148
OXIDE CMP PROCESSES -MECHANISMS AND MODELS
has been arrived at empirically. This section addresses the oxide CMP results that are discussed in the literature. While many of the secrets to success of CMP remain proprietary, the open literature does offer a good picture of the important process considerations as well as issues that must be resolved in order to obtain a stable CMP process in manufacturing. 5.2.1
Polish Rate Results
One of the key performance metrics for a CMP process is the polish rate, including the uniformity of the polish rate across the wafer and from wafer to wafer. In the previous sections and in Chapter 4, material removal is discussed in terms of fundamental principles. This section discusses the polish rate in terms of process variables and emphasizes what tools the process engineer has to improve polish rate and polish rate control. According to the Preston equation (Equation (4.1)), the polish rate varies linearly with pressure and velocity. In general, the Preston equation describes the pressure and velocity dependence of oxide CMP rate well,('213) as shown in Figure 5.14.('*) However, the theoretical value of the Preston coefficient, Kp = 1/2E, does not explain the polish rate variation with other important process variables such as pad type, pad condition, slurry abrasive, and slurry chemicals. As discussed in Chapter 4, the polish rate can vary considerably between pad types and with the condition of the pad surface. For example, Patrick et al!14) report a 20% greater polish rate of thermally grown oxide on a Suba IV pad (softer) than on a Suba X pad (harder). More important than the difference in polish rate between pads, however, is the variation in polish rate with surface condition of a given pad. As discussed in Chapter 4, as a pad ages the polish rate decreases due to glazing and plastic deformation of the pad surface. Reconditioning techniques serve to stabilize the polish rate as shown in Figure 5.15.'") However, conditioning techniques are time-consuming and often lead to increases in particle levels. In addition, rate decay often occurs even with cond-
5.2 OXIDE CMP IN PRACTICE
3000
I
I
149
I
2500 h
.-
C
5
2000
-
-
1500
-
s a
1000
-
-
Y
a
2 9 0
500 * 0 0
I
I
I
100
200
300
400
Pressure X Platen Velocity (Psi.rpm)
Figure 5.14
Oxide polish rate vs. ptessurexvelocity. The polish rate is linear with the ptessurexvelocity product as predicted by Preston. (Fnw Ref. (12).) 1 Q)
a -
0.8
lu
>
0.6
a" '0
-3a .-
g
z
0.4
0.2 0
0
10
20
30
40
Number of Wafers Processed
Figure 5.15
Polish rate vs. number of wafers nm on pad. Pad conditioning after the 25th wafer stabilizes the polish mte at the onhigh value. Note that an extended conditioning cycle was performed just after the 25th wafer. (From Ref. (ll).)
itioning. Thus, it is desirable to develop a pad material that requires minimal to no conditioning.
150
,
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
Another important factor in determining polish rate is the slurry constituents. Slurry chemical variables @H, anions, cations, concentrations) as well as slurry abrasive variables (size, concentration, type, and dispersion) can have a strong effect on polish rate. For example, Figure 5.16(ln shows the solubility of SiO, as a function of pH. As the pH of the slurry and the solubility of SiO, increase, the tendency for polished SiO, to dissolve and/or remain in solution increases. According to Cook's model of glass polishing, the polish rate should therefore increase with pH. In addition, the stability of colloidally dispersed silica increases with PH,''~)and thus and polish by-products that enter the slurry as a colloid are more likely to remain suspended at the higher pH. Indeed, Figure 5.17'"' shows considerable increases in oxide polish rate with pH for silica-based slurries. However, with alumina-based slurries, there is evidence that the polish rate decreases with pH in some instances. Figure 5.18(16) shows the oxide polish rate in alumina slurries as a function of pH. Slurries contain 2 wt% of alumina with size of 0.3 p.HC1, W O H , KOH were used to adjust the pH of the slurry, with a pHmeter used for measurement. The removal rate is extremely sensitive to the pH value. The highest removal rate was achieved with neutral slurry (no chemicals). HC1 was added to give low pH. Different HCl concentrations ranging from 2 vol% to 0.002 vol% were tested. At 0.2 ~ 0 1 %HCl concentration, which corresponds to a pH of about 1.8, the removal rate is about 30 times lower than that of the neutral slurry. This suggests that adding HC1 to the slurry could significantly improve the selectivity between the oxide and metal if the metal removal rate is not significantly reduced. On the high pH side, W O H can also reduce removal rate. At 0.5 v018 W O H concentration, the removal rate is reduced by a factor of 4 compared to the slurry without W O H and other chemicals. However, a higher KOH concentration leads to a higher removal rate. In Figure 5.18, the point with highest removal rate in the curve for KOH corresponds to 2 wt% of KOH concentration. KOH probably enhances dissolution rate of oxide. This explanation is supported by the experimental fact that 2 wt% of KOH solution without any abrasive gives a removal rate of about 20 W m i n (which is about half of the CMP rate when 2 wt. % of alumina ab-
5.2 OXIDE CMP IN PRACTICE
151
PH
Figure 5.16
Silica solubility vs. pH. (From Ref. (15).)
ai
c
m
U
Figure 5.17
Polish rate vs. pH and abrasive concentfation for (a) 30 nm silica abrasive and (b) 7 nm silica abrasive. (From Ref. (ll).)
152
OXIDE CMP PROCESSES
-MECHAMSMS AND MODELS
800 700 h
.-
C
E 600
3a, 2 -
500
CI
400
9
300
2
200 100
0
0
2
4
6
8
10
12
14
PH Figure 5.18
Polish rate vs. pH for alumina slurries.
rasive is used). It is noted that the isoelectric point of alumina is about 9. The results in Figure 5.18 suggest that the polishing rate is highest when the pH of the slurry is near the isoelectric point of abrasive alumina. Slurry abrasive size and concentration also affect polish rate. However, the effect that abrasive size has on polish rate is not clear. Figure 5.17 suggests that the oxide polish rate increases with both abrasive particle size and concentration. However, other reports find that glass polish rate is constant with abrasive or even decreases with abrasive size.(3) The constant or decreasing polish rate with abrasive size may be explained on the basis of the particle density on the polishing surface. For example, if the particle fill factor is near unity as shown in Figure 5.19, then decreasing the particle diameter increases the number of particles contacting the surface (note that a fill factor of 1 corresponds to a hexagonal close-packed arrangement of particles on the polishing surface). Thus, at high particle concentrations in the slurry decreasing particle size increases the number of cutting tools and hence increases the polish rate. However, in Figure 5.17 the particle concentration may be low enough such that the fii factor considerably less than unity (Figure 5.20). As a result of the low
153
5.2 OXIDE CMP IN PRACTICE
000000 0000000 Figure 5.19
E)
At high particle concenhations, when the particle fill factor is near unity, decreasing particle size increases the number of particles contacting the surface.
0 0 0 Figure 5.24)
0
0
I ) o
0
0
At low abrasive concentrations, when the particle fill factor is much less than unity, decreasing particle size does not increase the number of particles contacting the surface.
fill factor, decreasing particle size does not significantly affect the number of cutting tools at the wafer surface. In this case, the fact that each cutting tool is smaller, and therefore removes less material, results in a lower polish rate. This hypothesis is supported by the fact that polish rate increases with abrasive concentration in Figures 5.17. However, more work is necessary to reveal the exact effect of abrasive size on polish rate. The oxide film also plays a role in determining the polish rate. Figure 5.2l(ln shows that BPSG polishes considerably faster than PECVD or thermal oxides. while Figure 5.22'") shows that the polish rate of PSG increases linearly with phosphorous concentration. Both B,O, and P,O, are softer glasses than SiO, so that their incorporation into the SiO, network softens the oxide (thus lowering their elastic strength). The softer oxides are easier to abrade, increasing the polish rate."') (Note that BPSG oxides experience a similar increase in etch rate in HF acid as a function of boron or phosphorous concentration.@)') One of the biggest concerns with oxide polish is the uniformity of the polish rate across the wafer. The across wafer nonuniformity of the polish rate can be considerable leading to a variation in insulator thickness from die-to-die across the wafer. Consider, when removing 1.5 pn of oxide, that a 3-0 nonuniform-
OXIDE CMP PROCESSES
154
-MECHANISMS AND MODELS -2500 c .-
.-C
I
I
I
I
I
I
I
E
9 al 5 a 90
E a
1000
0
E LT
500 10 20 30 40 50 60 70 80 90
"
2.5 3.0 3.5 4.0 4.5 5.0 5.5 6.0 6.5
Platen Rotation Speed (rprn)
Down Force Pressure (psi)
(4
(b)
Polish rate vs. a) pressure and b) velocity for BPSG oxide, thermal oxide, and PECVD oxide. (FromRef. (17).)
Figure 5.21
250 r
. h
c .-
E E c
v
Q)
c
200 150 -
a
[r
01 0
I
1
I
2
I
I
I
I
I
3
4
5
6
7
Phosphorous (Wt%)
Figure 5.22
Polish rate of PSG oxide vs. phosphorus concentration. (From Ref. (18).)
ity of 10%leads to 150 nm variation in oxide thickness across the wafer. If the final oxide thickness is to be 600 nm,this nonuniformity represents 25% of the final oxide thickness. Nonuniform oxide thickness complicates the etching of contacts and vias, causing some areas of the wafer to be severely over etched. In
5.2 OXIDE CMP IN PRACTICE
155
addition, the variation in oxide thickness leads to variable electrical performance from die-to-die. Nonuniform removal is thought to be primarily a function of two factors.(*') First, inadequate slurry transport across the wafer prevents effective polishing at the center of the wafer. Second, nonuniform pressure distributions as a result of wafer bow, wafer thickness variations, or inadequate wafer mounting result in nonuniform polish rates, according to the Preston equation (Equation (4.1)). Slurry transport is primarily affected by the pad and pad conditioning.'22) Good slurry transport occurs when the pad, by virtue of its density, pore size, and fiber structure can absorb and transport slurry under the wafer. Slurry transport is also affected by pressure and the thickness of the hydrodynamic layer between the pad and the wafer, as discussed in Section 4.3. The distribution of pressure is primarily a tool design issue (proper wafer mounting design can compensate for wafer bow and thickness variations). In addition to the nonuniformity in the oxide polish rate, non-uniformity in the oxide deposition process leads to variations in the final thickness of the oxide. Deposition nonuniformities are compounded by the fact that thick oxides must be deposited prior to CMP. For example, if the oxide CMP process must remove 500 nm in order to planarize the surface, with a fiial target oxide thickness of 500 nm, a 1 pm thick film must be deposited. A 10% variation in f i i deposition rates transfers to a final thickness variation of 100 nm or 20% of the find film thickness (assuming no variation in CMP rate across the wafer). Alternatively, if the CMP process must remove 1 pm of oxide in order to planarize, the deposited oxide must be 1.5 pm thick. The same 10%variation in film deposition rates now results in a 150 nm thickness variation or 30% of the final thickness. Thus, the CMP process affects the final oxide thickness uniformity by virtue of the planarization rate as well as polish rate uniformity. This nonuniformity is a second reason that ceria-based slurries discussed in Section 5.1.3 are undesirable. 5.2.2
Planariation Results
While polish rate is an important CMP metric that is relatively simple to monitor on a routine basis, the end goal of
156
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
oxide CMP is not to remove material but rather to planarize. As demonstrated in Section 5.1.3, an improvement in polish rate does not necessarily translate into an improvement in planarkition capability. Thus, it is important to understand the factors that affect planarity and to develop oxide CMP processes based on planarization results as well as polish rate results. The key to planarization during CMP is that high regions (features protruding from the wafer surface) polish faster than the low regions. Figure 5.23(14)shows the deformation in the polishing pad that occurs as the pad passes over a feature protruding from the wafer surface. The pad exerts greater pressure on the high surface where it is deformed (because force is required to deform the pad) and consequently the polish rate of the protrusions is greater than the polish rate of the low regions. Figure 5.24(14) shows the polish rate as a function of distance across the protrusion as well as the resulting profile of the protrusion with time. This planarization mechanism, i.e., that the polish rate of the high features is greater as a result of pad deformation (and the resulting pressure gradients), results in a pattern dependency of planarization. The greatest pressure occurs at small isolated high features, while high features within arrays or very large high features will experience lower pressures. In contrast, a low feature surrounded by high features will experience the least pressure, while the center of a large low feature will experience pressure similar to a large high feature. Thus, the pressure exerted on a feature (either high or low) will be a function of the density of other high features around it. Pad deformation also increases with feature height. Because the feature height decreases during polishing (as a result of planarization), the pressure on the high features decreases while the pressure on the low features increases continuously during planarization. As a result, planarity is dependent upon the pattern geometries, with the maximum step height across the die at the end of the polish being the difference in height between the widest high feature and the widest low feature. There are several factors that influence the planarizing ability of a CMP process, the most significant being the material properties of the polishing pad. From the discussion above, it is clear that hard, incompressible pads will achieve the best planarity.
5.2 OXIDE CMP IN PRACTICE
Polishing pad 7 High pressure region
\--
No contact with wafer
157
7
'2
Relative motion of wafer
Figure 5.23
Deformation of the pad as it passes over a feature. Pressure is greatex on the high regions where!the pad is deformed,leading to greater removal at the high regions. prom Ref. (14).)
7
Z 1.4 L
1.2
E 2
l
.y 0.8
E
0.6
r .p0.4 9)
I 0.2 -10 10 30 Distance (micrometers)
-30
Figure 5.24
3
O-50
-30 -10 10 30 Distance (micrometers)
(a) The polish rate and (b) resulting profile of a protrusion as a function of time. (From Ref. (14).)
Hard incompressible pads require more force to deform and thus exert more pressure on the high regions. In addition to compressibility, the surface roughness(23)and viscoelasticity'3) of the pad will affect planarization, as discussed in Chapter 4. Because the surface condition of the pad changes with time, the planarization ability of the process will also change with time.(24)Thus, the pad conditioning sequence must be designed to stabilize planarity as well as
158
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
polish rate. In addition to pad characteristics, planarity has been observed to deteriorate with increased pressure(21)and as a result of poor abrasive particle size control.'m Renteln et d@') describe a method of characterizing the planarization of a CMP process. The first step is to generate a test structure by etching trenches into a silicon substrate and then depositing oxide (Figure 5.25). The test pattern consists of arrays of parallel trenches to equal width separated by spaces that are equal to the trench width.'%) Thus, a cross section of the array cut perpendicular to the trenches forms a square wave pattern in the silicon. Each array contains different trench widths, allowing a comparison of the pattern dependency of the polish rate. The arrays must be large enough to ensure that the patterns from adjacent arrays do not interfere. Renteln et al. uses 1-5 mm size trench widths and suggest that the arrays should be several cm on a side. After the pattern is generated and the trench depth is measured by profilometry, SiO, is deposited and subsequently planarized by CMP. After CMP, the surface is again measured by profilometry and the amplitude of the square wave subsequent to polish is compared to the amplitude prior to oxide deposition. Figure 5.26(2nshows the ratio of the post-polish CMP amplitude, A,, to the predeposition amplitude, A , vs. oxide removed for several trench widths. Note that the plot of log (AIA,) vs. oxide removed is a straight line. Renteln et al. use this fact to define the metric planarization rate, P, which is equal to the slope of the lines in Figure 5.26. Renteln et al. suggest that the measurement of p is an accurate method of determining the planarization ability of a process and comparing the planarity obtained with different processes. Indeed, several other workers have used this method to evaluate CMP processes.'2n As an example, Figure 5.27(27'shows the planarkation rate vs. pressure for an IC50/SubaTMIV stacked pad system. As the pressure is increased, the planarization rate deteriorates. It is possible that the increased pressure and the resultant increase in pad deformation lead to greater pad contact with the low regions and hence higher removal rates in the low regions.
5.2 OXIDE CMP IN PRACTICE
I
W
l
I
Figure 5.25
W
l
W
159
l
I
Dielectric
Test structure for characterization of planarization (From Ref. (W, (c) m.1
H4/S4 (new) .W=lmm V W=3mm W=5mm
0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4
Oxide Removed (pm)
Figure 5.26
Normalized (with respect to pre-polish value A,) post-polish amplitude (of the square wave) as a function of the oxide removed. (From Ref. (a), (c) IEEE).
t
1.0' 2
I
4
6
I
I
8 1 0 1 2
Pressure (psi) Figure 5.27
Planarization rate p as a function of pressure (From Ref. (24).)
160
OXIDE C M P PROCESSES
-MECHANISMS AND MODELS
A consequence of the pattern geometry effects on planarity is that in order to ensure the proper degree of planarity, certain pattern configurations must be avoided. The process engineer must therefore determine what configurations are unworkable and then formulate a set of design rules to avoid such configurations. The design engineer may then use these rules to develop automated layout and design rule checking tools to ensure that circuit layouts do not produce such unworkable pattern configurations. To generate these design rules CMP planarity must be modeled. Several models are available in the literature and are reviewed below. In addition, software products have recently become available that take advantage of these models to predict planarity from a given circuit layout.(n)
Planarity Modeling There are several models discussed in the literature of CMP planarization of oxides. In this section we shall review two of these models that assume different modes of polishing, i.e., Hertzian indentation, by Warnockc28,,and fluid based wear, by Runnels.(2g) In addition to predicting planarity, these models gives good insight into the planarization mechanisms for CMP. However, these models are not necessarily the most accurate models. Other models include those by Burke et al.J2') Yu et al.'30'and Renteln and c016ff.(~~) The model by Warnock'28)uses the notion that planarity is a function of pad compression to predict planarization. Figure 5.28'30) illustrates the compression of a pad as it transverses the edge of a step in the wafer surface. The effect of the high region is to shade the adjacent low region from the pressure exerted by the pad. By modeling the pad response to the presence of a step (i.e., the pressure redistribution along the step), Warnock predicts the relative polish rates at each point on the surface. Warnock considers three factors related to the topography of a wafer surface that determine the polish rate of a given point (i) on the surface. These factors are illustrated in Figure 5.29.'=) Kiis the horizontal component to the polish removal rate given by:
Ki
+
1 + KOtan (ai)
(5.4)
5.2 OXIDE CMP IN PRACTICE
Horizontal
161
Polishing pad
, &
I
lenath scale
Substrate
Figure 5.28
Compression of the pad as it passes over a step in the oxide film. (From Ref. (28).) Pad surface
- - J-- - - -
~- -
_----
jjq
-
- 8 ~ 8
“,r~* c------
‘\-
A large
fs Figure 5.29
large
Schematic illustration of K,, A,, and S,. (From Ref. (28).)
where KOis a model parameter and a, is the angle that the surface being polished makes with the horizontal. Ai is the degree to which the polish rate is accelerated by virtue of point i being higher than some surrounding feature, and Si is the degree to which the polish rate at a low region is decelerated as a result of shading from an adjacent high region. Note that Aj = 1 if there are no surrounding features lower than point i. Similarly, Si = 1 if there are no surrounding features higher than point i. Then for any given point on the wafer surface, the polish rate is given by:
where R, is the nominal polish rate. In addition, if the polish rate is assumed to be proportional to pressure (Preston’s Equation), then the decrease in polish rate of a low feature, point i, due to shading
162
OXIDE CMP PROCESSES
-MECHANISMS A N D MODELS
from a high feature, point j , must be compensated by a corresponding increase in the polish rate at point j because the average pressure is constant over a wide area. The result of this assumption is that for a surface broken up into n points:
Thus, if the shading factor for all points on the surface is calculated, the corresponding acceleration factor for each point may be determined by iteration. The shading factor is assumed to increase exponentially with the height of the surrounding topography, i.e., si=exp(z]
where z, is the second model parameter and
(5.7)
is a function of
the topography surrounding point i. GIis calculated by integrating over the wafer surface the vertical height z (with respect to point i) multiplied by a weighing function. The weighing function describes how the deformed pad redistributes pressure (in response to high features) as the pad transverses the surface. Warnock assumes the weighing function takes the form:
W =
1
where r is the distance from point i and r, is the third model parameter. Once the Si coefficients are established, the Ai coefficients are calculated via iteration. The Ki, Si, and Ai values for each point on the surface are then inserted into Equation ( 5 . 3 , and the surface profile during polishing is modeled.
5.2 OXIDE CMP IN PRACTICE
163
Modeling results presented by Warnock agree well with experimental data. Figure 5.30@) compares the model prediction to experimental results for an array of 10 p lines with 10 p spaces. Here, the model accurately predicts the experimental results. However, the model fails at the edge of an array of narrow features (Figure 5.31(28)) Wamock suggests model failure occurs because the increase in polish rate is sublinear with pressure at very high pressures such as are experienced at the edge of the array in Figure 5.31. Thus, the model overpredicts polish rate at very high pressures. Warnock's model also predicts several trends in planarization which are worth noting. First, small features planarize faster than large features, even when the surrounding pattern density is the same. Second, very large features polish at a rate similar to the blanket removal rate. When the feature size is much greater than the polishing length scale, (ro),planarization does not occur. Third, in low pattern density regions, the polish rate is high because there is only a small amount of material shading a large region. That the model is able to predict these trends adds validity to the model. This model implicitly assumes that, at least to some extent, the pad makes contact with the wafer surface (i.e., the pad directly presses the abrasive against the surface) and exerts pressure directly to the surface. The abrasive then moves across the surface as a Hertzian indenter. As discussed in Chapter 4 however, it is also possible that a continuous fluid layer exists between the wafer and the pad. The pad compresses the fluid layer, which in turn exerts hydrodynamic pressure on the surface. The existence of a hydrodynamic fluid layer is an important distinction because the wear mechanisms are different for fluid-based wear as opposed to Hertzian indenter-based wear (see Chapter 4). Runnel~''~)assumes the existence of a continuous fluid layer between the pad and the wafer and models planarization using a feature scale fluid-based-wear model. Runnels uses fluid mechanics to model the normal and shear stresses that are developed at the feature scale. Material removal rate is assumed to depend only upon the shear stress, o,according to:
vm = CUi2
(5.9)
164
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
500
500
400
400
c 300
F"
1 -, 200
;6m -
8
8
100
rJY
v)
t
t
o
-ool --2000
200
100
300
100
o -2000
400
100
200
300
400
X Scale (microns)
X Scale (microns)
Comparison of Wamock model prediction to experimental
Figure 530
results for an m y of 10 pm lines with 10 pm spaces. (From Ref. (28).) 500t
I 400
>
1
I
I
I
.
75 nm polished
I
o -100 -200 270
Figure 5.31
280
290
300
310
320
X Scale (microns) Model failure at the edge of an array of MHOW f e a m s due to nonlinearity in polish rate with pressure at high pressures. (From Ref. (a).)
where V,,is the material removal rate at a given point, C is a model parameter. Runnels shows that the form of Equation (5.9) can be expressed as a linear function of pressure and velocity as given by Preston. While Runnels uses Equation (5.9) to model wear, he predicts that more accurate results may be obtained with an expression that also considers normal stresses such as:
Vn = kun (ui + dua
(5.10)
Using Equation (5.9) and modeling the shear stresses from the hydrodynamic fluid layer, Runnels models the planarization
5.2 OXIDE CMP IN PRACTICE
165
data used by Warnock (Figure 5.29(29)).Figure 5.32(29)shows that the model does not predict the data as well as the Warnock model. There are several factors which could account for the relatively poorer fit of the Runnels model to the data. First, the estimated form of Equation (5.9) may be incorrect. If the form of Equation (5.9) were to be derived from first principles or experimentally determined, a better fit may be achieved. Second, the thickness of the hydrodynamic layer is assumed to be 10 pm while the dependence of planarization rate on hydrodynamic layer thickness can be significant, particularly at lower hydrodynamic layer thicknesses (see Figure 5.33'31)). An actual measurement of this parameter may allow a better prediction of the data, although hydrodynamic layer thickness measurements have not been reported to date. Finally, the Runnels model implicitly assumes a given wear mode, i.e., fluid-based wear. Under the experimental conditions used by Warnock, the wear mode may be that of Hertzian indentation (or some combination thereof). The fact that the Warnock model, which assumes pad contact and therefore Hertzian indentation, better predicts the data suggests that the wear mode for the data is indeed Hertzian indentation. However, the existence of a fluid layer is dependent upon process parameters,(31)and the Runnels model may better predict data collected under different experimental conditions. Therefore, to make full use of these planarity models, a better understanding of the wear mode and fluid dynamics is required. 5.23
CMP in Manufacturing
One of the biggest hurdles to the acceptance of CMP in mainstream IC processing has been the poor manufacturability of CMP. Manufacturability is discussed in this chapter because at most companies oxide CMP has been the first CMP process performed in manufacturing. Therefore, most of the lessons on manufacturability have been learned with oxide CMP. One large difficulty with oxide CMP in manufacturing is a result of oxide CMP being a blind process. Other CMP processes begin with the CMP of one material and stop at the interface to a second material. The interface may be detected by a variety of met-
166
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
c
.-
IBM erosion data
0.4
c 0
a -0.1
---
-
0 5 10 15 20 25 30 Horizontal Distance along Wafer (microns)
Figore532
Comparison of RUM& model prediction to experimental results for an array of 10 pn lines with 10 p spaces. (From
Ref. (29).)
Gap Thickness (microns)
Figure 5.33
The dependence of planatization rate on hydrodynamic layer thickness. (From Ref. (31).)
However, oxide CMP begins and ends with SiO, at the surface and no interface is ever reached to indicate end point. It is very difficult to determine in situ when the desired amount of material has been removed or when the desired degree of planarization has been obtained. As a consequence, ex situ measurements are often required to determine the end of the process.(34) After polishing a wafer for a specified amount of time, the oxide thickness and/or surface profile are measured. If the oxide layer has not been sufficiently thinned and/or the desired degree of planarity has not been obtained, then the wafer must be repolished. If the oxide thickness is too thin or too nonuniform, than the wafer must be reworked or scrapped. This method is time-consuming and labor intensive. If the CMP process is sufficiently mature as to have obtained a high degree of process control, however, the amount of
5.2 OXIDE CMP IN PRACTICE
167
.. .
repolishing or reworking may be rrummmd . The best solution is to develop in situ end point detection to automatically sense end point. End point detection and a high degree of process control will significantly ease the manufacturing burden of CMP.
Capacitive Sensing Technique A second manufacturing issue with CMP arises from the need to condition the polishing pad. As mentioned previously, pad conditioning and pad break-in steps are required to maintain consistent performance over the life of the pad. However, these steps are time consuming and labor intensive. The break-in period, for example, may consume up to one third of the pad's useful life!w) Thus, developing a pad material that requires minimal conditioning and breaking in will increase manufacturability and consistency in process performance. Because of the competitive nature of the semiconductor industry, cost of ownership (COO) is another important consideration of CMP or any process in IC manufacturing. Sivaram et al."') have studied CMP COO and that the most significant contributor is yield loss at the CMP step. For example, a 2% yield loss at a CMP step is approximately 50 times more significant than the next most important contributor. For this reason, it is imperative that yield loss be minimized at the CMP step. The next most significant factors to COO are wafer throughput, tool reliability (up time), and capital investment. Wafer throughput is affected by polish rate as well as overhead items such as wafer transport time and pad conditioning time. Owing to the increasing emphasis placed upon CMP by IC manufacturers, many new tool vendors are entering the CMP market. The result is an increase in competition which is likely to dramatically increase tool reliability and decrease tool cost. In addition, the development of multihead polishing tools that polish multiple wafers at a time will significantly increase throughput. 5.2.4
Yield Issues
As discussed previously, CMP is an enabling technology which allows the use of advanced lithography in the sub-0.5 pm
168
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
regime. However, another driving force for the introduction and proliferation of CMP is that when performed properly, CMP may actually increase yield.(') However, there remain many concerns with the compatibility of CMP with advanced IC processes. The combination of mechanical abrasion, wet chemical processing, and the exposure of particles to the wafer surface runs counter to all other trends in IC fabrication. Indeed, if the CMP process is not performed properly, the above combination can lead to mechanical damage, chemical contamination, and/or particulate contamination of the wafer surface; any of which can severely reduce yields. This section discusses how CMP may potentially increase or decrease yields.
Post-Polish Defect Levels One of the major concerns with CMP is the level of particulates and other defects on the surface subsequent to polishing. Although this area is critical to the success of CMP processes, detailed reports on post-polish cleaning in the open literature are scarce. However, the success of C M P at many companies attests to the fact that low defect densities can be acheived. Indeed, it has been reported that CMP often reduces defects on the wafer surface by removing film particles and other defects created at previous processing steps.(1JL3) Additionally, a fully planarized surface is easier to clean because defects cannot hide in comers and ridges.") To achieve low or even reduced defect densities at CMP, however, it is vital to develop and optimize a wafer cleaning strategy. Roy et al.(39have investigated post-oxide CMP cleaning and have developed a clean sequence using existing tool sets. While all of the details of their clean sequence will not be repeated here, the important findings will be reviewed. The major components to the clean sequence discussed are buffing, megasonic agitation, and brush scrubbing of the wafer. Immediately following CMP, the wafer is sprayed with DI water to remove most of the slurry on the wafer. Next the wafer is buffed by polishing at low pressure on a secondary polishing table with DI water only (no abrasive). The wafer is then transferred to a megasonic bath where megasonic
5 3 OXIDE C M P IN PRACTICE
169
waves are used to dislodge particles in a high pH medium. Finally the wafers are brush scrubbed, rinsed, and spun dry. The objective of the clean sequence described above is to mechanically dislodge and remove particles from the wafer surface. To disIodge a particle from the wafer surface, the forces that adhere the particle to the surface must be overcome, i.e., the repulsive forces must be made greater than the attractive forces. Attractive forces include van der Waals forces, electrostatic interactions, liquid bridges, and chemical bonds.(39 Repulsive forces include double layer repulsion, electrostatic interactions (note that electrostatic interactions may be attractive or repulsive, depending upon the relative sign of the charges between the particle and the surface), and the mechanical forces supplied by the cleaning sequence.(39 In the case of the van der Walls attractive forces, the greater the contact area between the particle and the Smooth planar surfaces surface, the greater the provide less contact area with the particles and therefore are easier to clean than rough or nonplanar surfaces. One of the most important results of Roy et al. is the need to keep the wafer surface wet through all clean steps. If the slurry abrasive is allowed to dry on the wafer surface, a chemical bond apparently forms between the particle and the surface. Once this bond forms, removal of the particle fiom the surface is virtually impossible. Therefore, the wafer should be sprayed with DI water immediately upon removal from the polishing pad and transported quickly between soluions of the clean sequence to ensure that the wafer remains wet. Another significant aspect of the clean sequence is the pH of the cleaning chemicals used. Figure 5.34('3 shows the stability of colloidal silica as a function of solution pH. At the pH of DI water, colloidal silica is unstable and forms large particles of agglomerated silica. In addition, high pH increases the zeta potential of the particle and the substrate such that the two repel each other and thus increase the tendency for the particle to dislodge from the surface.(33 Therefore, high pH solutions are desirable wherever possible during cleaning. Roy et al. also investigated the use of dilute HF in the clean sequence. Because the solubility of monosilicic acid (Si(0H)J de-
170
OXIDE C M P PROCESSES
-MECHANISMS AND MODELS
I
0
2
4
6
8 1 0 1 2 1 4
PH Figure 5.34
Stability of colloidal silica as a function of solution pH. (From Ref. (15).)
creases with pH, when the wafer is rinsed or buffed with DI water to remove the slurry, monosilicic acid in the slurry (monosilicic acid is a by-product of the polish process) condenses onto the wafer surface to form a glasslike f i i on the oxide surface. This film is likely to be of poor quality and highly contaminated. Thus, it is desirable to remove this film via a dilute HF rinse incorporated into the clean sequence. According to Roy et al., the HF rinse significantly reduces metal contamination levels and reduces surface roughness by up to a factor of 9. In addition, Roy et al. observe no increase in particles using the HF rinse. However, others have reported an increase in both particles and surface roughness with HF use.(13) The discrepancy is likely to be a result of differences in either the polish process or the clean sequence. Selection of a pad material must include considerations of scratch density as well as the planarization and conditioning considerations mentioned earlier. Scratching due to the slurry particles is a result of poorly controlled particle size distributions. If agglomeration of the slurry particles occurs during use or storage, the larger slurry particles will result in increased scratching.
5.2 OXIDE CMP IN PRACTICE
171
Electrical Results Improvements in electrical performance result from the increased lithographic capability and control enabled by global planarity and the reduction in defects seen with CMP. Because the high degree of planarity reduces the vertical range to which the stepper tool must focus during resist exposure, the distribution in critical dimensions (CDs) will be reduced with CMP. For example, CMP could potentially decrease contact CD linear variation by 10% (or 20% area variation).'25) The tighter control in contact area translates to a tighter distribution of contact resistances and more importantly current density through the contact. Tight control of the current densities is important because high current densities through contact metallization may lead to electromigration failures, particularly if aluminum or copper is used to fill the contact instead of tungsten. Junction leakage at the contact is decreased by the fact that when the first ILD is planarized, the depth of the contacts to the substrate is made uniform across the entire die. Uniform contact thickness minimizes the required overetch time. Alternatively, if the contact thickness varies considerably, then thinner contacts will receive a long overetch while the thicker contacts are fully etched. The silicon (or silicide) in the contact window will be thinned during the overetch, potentially resulting in junction leakage. Figure 5.35(25) compares the junction leakage between CMP and resist etch back (FLEB) planarized wafers with either silicon or TiSi, contacts. One disadvantage with global planarization, however, is that some contacts must become thicker to make the contact thickness uniform. The result is a slightly increased contact resistance as shown in Figure 5.36.'m Note, however, that contact resistance only increases for the silicon contacts and not the TiSi, contacts. A second complication is that the contact thickness to the poly gate is reduced as a result of global planarization, which leads to overetching of the poly gate. One solution is to use WSh, which etches slowly in the contact etch, to cap the poly gate. Figure 5.37'm compares the gate contact resistance between CMP and REB planarized wafers using silicided gates. Finally, the uniformity in contact thickness across the die may be offset by an across waf-
OXIDE CMP PROCESSES
172
*0 1
'
.(d) n+/ptubo
*
SR
TR
SC
TC
Junction leakage between CMP and resist etch back (REB) planarized wafers with either silicon or TiSi, contacts. (S = silicon, T = Tisi,, C = CMP, and R = REB.)(FromRef. (25).)
Figare 535
-
-MECHANISMS AND MODELS
50
150
40
p 100 c.
30
2 20 0
0
t
0
Y
au50
10
0
0 SC
Figure 5.36
SR
TC
TR
SC
SR
TC
TR
Metal-@junction contact resistance between CMP and resist etch back (REB)planarized wafers with either silicon or TiSi, contacts. (From Ref. (25).) 0
8
SC
Figure 5.37
SR
TC
(c) WSi,
TR
Metal-to-gate contact resistance between CMP and resist etch back(REB) planarized wafers with either silicon or TiSi, contacts. (From Ref. (25).)
er variation in oxide thickness due to poor CMP polish rate uniformity. Thus, good across wafer rate uniformity is essential. Electrical performance of devices also increases as a result of decreased defect densities with CMP. In particular, CMP removes film particles in the oxide layer and prevents metal etch
5.2 OXIDE CMP IN PRACTICE
173
defects such as metal stringers which cause shorts between metal lines. Metal stringers occur because topography in the oxide layer results in thickness variations in the metal film.Metal stringers are residual metal that occur when the metal film is not completely etched at its thickest points (see Figure 5.38). Figure 5.39'=) shows a decrease in defect densities for CMP vs. non-CMP pl anari d surfaces and Figure 5.40'23)shows the resulting increase in normalized die yield with CMP planarization on metal bridging test structures. Finally, the integrity of the polished oxide remains a concern. The mechanism discussed above for material removal during CMP includes hydration of a surface layer followed by mechanical abrasion of the surface. An oxide with a hydrated surface layer may demonstrate inferior electrical performance to nonpolished oxides. In particular, increased leakage and reduced dielectric strength may occur. In addition, mechanical abrasion may weaken the oxide structure, resulting in similar problems. Therefore, careful studies of the polished oxide integrity are required to ensure proper electrical performance and reliability. Murarka et al.'36)have investigated the dielectric strength of polished oxide. Figure 5.41 shows the breakdown strength of PECVD oxide films polished to 75 nm using a Rode1 IC60 pad stacked on top of a Suba IV pad. The polished film breaks down immediately with the application of a bias. In contrast, the film thinned by etching in buffer oxide etch (HF + NH,F) has a strength greater than 6 MV/cm. However, the dielectric strength appears to increase with thickness of the polished oxide. Figure 5.42(36)compares two oxide films polished from 180 nm to 130 nm, where one fiim was subsequently thinned to 50 nm by etching in buffered oxide etch. The 130 nm thick film exhibits high dielectric strength similar to the unpolished fim, however, the thinned oxide again exhibits poor strength. The results of Figures 5.41 and 5.42 suggest two possible mechanisms for the poor oxide integrity as shown in Figure 5.43.'36) The first mechanism (Figure 5.43a) is that polishing introduces pits into the oxide that are between 50 and 130 nm deep. If the oxide is thinned to the thickness of these pits by either CMP or etching, than the aluminum metal deposited on the oxide for the stress test-
OXIDE CMP PROCESSES
174
-MECHANISMS AND MODELS
eta1 nnger
e AnisotropH: etch
Formation of metal stringers at metal etch due to nonplanar surface.
Figure 5.38
g 0
2
f
e a
2$ .7 g
1
0.9 0.8
0.7
0.6 0.5 0.4
0.3 0.2 0.1
op--Lot #1
~
Lot #2
Lot
#3
Lot #4
Non-CMP Normalized DD Mean = 0.76 CMP Normalized DD Mean = 0.43
2
0.4
0.3
#5
Lot #6
Lot #7
Lot
#8
Lot #9
Lot ID
Defect densities with and without CMP planarzation. (From Ref. (23).)
Figure 5.39
0.5
Lot
-
~
-
M2 BRG all
M1 BRG all Electrical Parameter
Figare 5.40
Yield on metal short test structures with and without CMP planarkation. (FromRef. (23).)
5.2 OXIDE CMP IN PRACTICE
c
p!
10.~
5
-
/
10-9
-
-
10.“
Figure 5.41
175
- .
I
.
I
. , .
,
,
The breakdown strength of PECVD oxide films polished to 75 nm using a Rodel IC60 pad stacked on top of a S u b IV pad. (From Ref. (36).) r
lo4 -
lo4 -
.
--
IC W pad and silica dwry 1300 A BMF 750A 1300 A CMP 5M)A
-
-
10-8-
10.~
1o’O loQ
10.”
Figure 5.42
-/---
The breakdown smngth of PECVD oxide films polished from 180 nm to 130 nm;one film was subsequently t h i i e d to 50 nm by etching in b u f f e d oxide etch. (From Ref. (36).)
ing will contact the substrate, resulting in leakage. The second mechanism is illustrated in Figure 5.43b.Here, polishing introduces flaws, 50-130 nm in size, throughout the thickness of the oxide film. These flaws act as electrical conductors such that if the film is sufficiently thin (i.e., 50-130 nm),the flaws act as an electrical short between the aluminum and substrate electrode, resulting in the
176
OXIDE C M P PROCESSES
Figure 5.43
-MECHANISMS AND MODELS
Two possible mechanisms for the poor oxide integrity experienced when polishing with IC60 pads. (From Ref. (36).)
high leakage. The first model is supported by the apparent rectifying behavior of the leakage, as shown in Figure 5.44.(36) The rectifying behavior is indicative of a Schottky contact which requires intimate contact between the metal and the silicon, as shown in Figure 5.43a. The poor oxide integrity is apparently related to the structure of the IC60 pad material. The IC60 contains glass beads within a matrix of blown urethane. The beads are added to control the hardness and compressibility of the pad.(3n However, these beads are apparently the source of the damage.(36) Oxide polished down to 30-40 nm under identical conditions using a Rode1 IClOOO pad did not exhibit the same behavior. IClOOO pads are fdled with urethane beads instead of glass beads to prevent such 5.3
SUMMARY
While the benefits of oxide CMP,namely improved lithographic capability and reduced defect densities, are discussed abundantly in the literature, oxide CMP remains a relatively
5.3 SUMMARY
177
+ Polished oxide 500 A
-_ -- -- - _ _- -
0
a
- 1x i 0.' -2~10~ h
5 c c
0
f -3~10.~
0
-4x10"
-5~10'~
-6X1O4 -5
Figure 5.44
0
5
10 15 Voltage (V)
20
Polished oxide of Figure 5.37 exhibits Schottky behavior suggesting direct contact between silicon and aluminum electrodes. Such behavior supports the model suggested in Figure 5.39a (From Ref. (36).)
immature process. Mechanisms for material removal and planarization must be understood and models derived from this understanding in order to obtain the full benefits of an oxide CMP process. Several mechanisms have been hypothesized, and experimental data appear to support these hypotheses. However, a detailed understanding of the process has not been obtained. In addition, several phenomenological models have been developed to aid in the prediction of planarity results. These models also provide some understanding of the CMP mechanism. Developing an understanding of these mechanisms will allow increased control of polish rates and planarization which, combined with increases in manufac-
178
OXIDE CMP PROCESSES
-MECHANISMS AND MODELS
turing ease and decreases in defect densities, will result in further benefits from the use of oxide CMP for planarization.
REFERENCES 1. 2. 3.
4. 5. 6. 7.
8. 9. 10.
11. 12.
13.
14.
H. Landis, P. Burke, W. Cote, W. Hill, C. Hofffman,C. Kaanta, C. Koburger, W. Lange, and S. Luce, Thin Solid Films 220, 1 (1992). L. Holland, The Properties of Glass Surfaces, Chapman & Hall, London (1964). T. Izumitani, in Treatise on Materials Science and Technology, eds. M. Tomozawa and R. Doremus, Academic Press, New York, (1979), p. 115. M. Tomozawa, K. Yang, H. Li, and S.P. Murarka, Mat. Res. SOC.Symp. Roc. 337, 89 (1994). L.M.Cook, J. Non-cryst. Solids, 120,152 (1990). H. Dunken, J. Non-cryst. Solids, 129, 64 (1991). 0. Kubaschewski, E. Evans, and C. Alcock, Metallurgical Thermochemistry, Pergamon Press, Oxford (1967). G.A. Parks, Chem. Rev. 65, 177 (1965). D. Golini and S.D. Jacobs, Appl. Opt., 30, 2761 (1991). F. Hingston, in Adsorption of Inorganics at Solid-Liquid Interfaces, 4 s . M. Anderson and A. Rubin, Ann Arbor Science, Ann Arbor, MI (1981), 91. R. Jairath, M. Desai, M. Stell, R. Tolles, and D. ScherberBrewer, Mat. Res. SOC.Symp. Roc. 337, 121 (1994). S. Sivaram, H.M. Bath, E. Lee, R. Leggett, and R. Tolles, Roc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarization, SRC, Research Triangle Park, NC (1992), proc. vol. #P92008. S.C. Avanzino, D. Schonauer, R. Raheem, Proc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarkation, SRC, Research Triangle Park, NC (1992), proc. vol. #P92008. W.J. Patrick, W.L. Guthrie, C.L. Standley, and P.M. Schiable, J. Electrochem. SOC.138,1778 (1991).
REFERENCES
15. 16. 17. 18. 19. 20.
21.
22. 23. 24. 25. 26. 27. 28. 29. 30.
31.
179
R.K. Iler, The Chemistry of Silica, John Wiley & Sons Inc., New York (1979). K. Yang, unpublished results. S.C. Sun, F.L. Yeh, and H.Z. Tien, Mat. Res. SOC.Symp. Proc. 337. 139 (1994). S.Pennington and S. Luce, in Proc. 9th VMIC, Santa Clara, CA, Roc. ##92ISMIC-101, Univ. of South Florida, Tampa (1992), p. 168. See Reference (3). S.K.Ghandhi, VLSI Fabrication Principles-Silicon and Gallium Arsenide, 2nd ed., John Wiley & Sons, New York (1994), p. 608. S. Sivaram, K. Monnig, R. Tolles, A. Maury, and R. Leggett, Proc. 3rd International Symposium on ULSI Science and Technology, eds J.M. Andrews and G.K. Celler, The Electrochemical Society, Inc., Pennington, NJ (1991), p 606. R. Jairath, J. Farkas, C.K.Huang, M. Stell, and S.-M. Tzeng, Solid State Technol., 37, 71 (1994). P. Renteln and J. Coniff, in Mat. Res. Soc. Symp. Roc. 337, 105 (1994). P. Renteln, M.E. Thomas, and J.M. Pierce, Proc. IEEE 7th VMIC, Santa Clara, CA, IEEE Cat. No. 9OTHO325-1, IEEE, New York (1990), p. 57. W.Y-C. Lai, J.F. Miner, C.S. Pai, C.T. Liu, C.B. Case, and R. Liu, Proc. 11th VMIC, Santa Clara, CA, Proc. # 94 ICMIC-103, Univ. of South Florida, Tampa, (1994) p. 179. Planarity Modeling Software. P.A. Burke, Roc. IEEE 8th VMIC, Santa Clara, CA, IEEE Cat. No. 91THO359-0, IEEE, New York, (1991) p. 379. J. Warnock, J. Electrochem. SOC.138,2398 (1991). S.R. Runnels, J. Electrochem. SOC.141,1900 (1994). T.K.Yu, C.C. Yu, and M. Orlowski, in Roc. 1993 International Electron Devices Meeting, Washington, DC, IEEE Cat. # 93 CH3361-3, IEEE, Piscataway, NJ (1993), p. 34.4.1. S.R. Runnels and L.M. Eyman, J. Electrochem. Soc. 141, 1698 (1994).
180
32. 33. 34.
35. 36. 37.
OXIDE CMP PROCESSES -MECHANISMS A N D MODELS
Luxtron, Santa Clara, CA 95051. J.M. Steigerwald, S.P. Murarka, R.J. Gutmann, and D.J. Duquette, patent pending. J. Olsen and F. Moghadam, ‘‘Planarkation Techniques,” in Multilevel Metallization for Integrated Circuits, eds. S.R. Wilson, C.J. Tracy, and J.L. Freeman,Noyes Publications, Park Ridge, NJ (1993). S.R. Roy, I. Ali, G. Shinn, N. Furusawa, R. Shah, S. Peterman, K.Witt, S. Eastman, and P. Kumar, J. Electrochem. SOC. 142,216 (1995). S.P. Murarka, S.-H. KO,M. Tomozawa, P.J. Ding, and W.A. Lanford, Mat. Res. SOC. Symp. Proc. 337,157 (1994). R. Jairath, private communication.
CHAPTER 6
TUNGSTEN CMP PROCESSES
The advantages of CMP (i.e., global planarity and reduced defect densities) may be realized during the patterning of metal interconnections via inlaid metal schemes. Inlaid metal patterning using CMP (alternatively termed dual damascene) avoids the use of metal RIE processes which require corrosive gasses and are often dirty. In addition, suitable RIE processes for copper have not been established. In this chapter, we first discuss inlaid metal using either RIE etch back of CMP. Next, we shall discuss mechanisms, important issues, and reported results of tungsten CMP. In Chapter 7, Copper CMP is discussed. Aluminum CMP is discussed in Chapter 8 as an emerging technology.
6.1
INLAID METAL PATTERNING
Inlaid metal patterning schemes were first used in the formation of tungsten studs to fill contact and via holes.") In this application, a blanket tungsten f i i is deposited by CVD thick 181
182
TUNGSTEN CMP PROCESSES
enough to completely fill the vias or contacts. The tungsten above the insulator surface (the overburden) is then removed by RIE etch back or by CMP, leaving the contact/via completely filled (Figure 6.1). Tungsten-fded vias and contacts have several advantages over aluminum-filled vias. Because CVD tungsten deposits a conformal coating, the via is Completely fied without the formation of keyholes or gaps. In contrast, physical vapor deposition (PVD) of aluminum films are nonconformal with regions of thin metal at the bottom of the contact/via (Figure 6.2). The ratio of the thinnest point in the aluminum film (i.e., at the bottom of the contact hole) to the thickest point is referred to as the step coverage. Figure 6.2 shows that tungsten filling yields significantly better step coverage (often ideal) than aluminum filling. Electromigration failures commonly occur at these thin points in the aluminum-filled contact/ via.'*' In addition, tungsten metal shows significantly better electromigration properties than aluminum by virtue of its refractory proper tie^.'^) The combination of better step coverage and better inherent electromigration properties make tungsten filling of contacts and vias attractive. Note also that the tungsten-filled contactlvia is substantially more planar than the aluminum-filled contact/via. The notion of inlaid metal may be extended beyond contact/ via fill to interconnection formation. By etching both the pattern for the contactlvia holes and the pattern for interconnections into the ILD prior to metal deposition, both the contact/via and interconnection levels may be formed at the same time (Figure 6.3).(4) Forming the interconnection level in this manner has several advantages, including a reduction in process steps (fewer oxide and metal depositions, fewer metal removal steps), increased surface planarity, a reduction in the number of interconnection-stud interfaces, and a reduction of surfaces exposed to the aggressive chemistries (either R E or CMP) used for metal removal. Along with these benefits, there are several challenges to the inlaid metal scheme as well. The deposition process must be capable of completely filling the high aspect ratio trenches and holes. In addition, the ILD etch must be controlled to provide the necessary trench depths. Either precise process control must be maintained or an etch stop layer must be deposited in the ILD at the trench depth.
6.1 INLAID METAL PATTERNING
.
. 500 pm
5 wm
Figure 6.1
Formation of inlaid tungsten studs using CMP.
(a)
Figure 6.2
183
(b)
Step coverage obtained with (a) PVD aluminum deposition and (b) CVD tungsten deposition.
In addition, several issues arise with the use of either R E etch back or CMP to remove the metal from on top of ILD. Dual layer inlaid metal schemes have been demonstrated for al~rn.inum,~*~) c o p ~ e r , ( ~and * ~gold(") ~) metallization systems.
184
TUNGSTEN CMP PROCESSES
Figure 6.3
Formation of inlaid metal lines and studs.
6.1.1 RIE Etch Back The first inlaid metal schemes involved the use of RIE etch back to remove the tungsten overburden in the formation of tungsten studs. There are several advantages to the use of RIE etch back vs. CMP. RIE is a well-established technology with a welldeveloped tool base. R E involves dry processing which generally yields lower contamination levels than wet processing.
6.1 INLAID METAL PATTERNING
185
There are, however, several complications with the use of RE.('') First, a high degree of planarity cannot be achieved because, unlike CMP, the etch process is not self-arresting (i.e., the etch does not stop when the ILD is reached). Second, CVD deposition results in a region of low density metal in the center of the via hole. As the hole closes, the deposition gases cannot enter the hole rapidly enough to ensure proper deposition. During RIE etch back, this center region etches quicker than the rest of the plug material, exposing a center seam. Third, the reactive chemical environment in the RIE plasma may leave residual corrosive gases or polymer on the metal surface, resulting in post-etch corrosion susceptibility and/or contact resistance degradation. Because of these issues, and because of the advantages of metal CMP, many circuit manufacturers are switching from RIE to CMP. 6.1.2
Metal CMP
Increasingly, metal C M P is being used for the formation of tungsten studs and interconnections. In addition, many manufacturers are investigating the use of CMP for aluminum and copper metallization. There are several advantages to using CMP to remove the metal overburden. First, metal CMP yields a high degree of local planarity. Unlike the RIE process, the CMP process is self-arresting. Removal of metal is dramatically reduced once the overburden is removed. For tungsten stud formation, several workers have demonstrated almost ideal coplanar surfaces (Figure 6.4).'13) The high degree of planarity allows vias to be stacked directly on top of each other. Stacked vias result in considerable reduction in circuit area over staggered vias. However, for the formation of wider structures such as interconnections, dishing effects (discussed below) reduce the local planarity. Metal CMP also maintains the global planarity onginally defined by an oxide CMP step. Because the surface is planarized after metal CMP, no further planarization is required, thus reducing the number of oxide CMP steps to one.(l4) Metal CMP is often easier to perform than oxide CMP because, in the case of metal CMP, the polish may be tailored to stop at the metaVILD interface.(14) The interface acts as a polish stop if it polishes slower
186
TUNGSTEN CMP PROCESSES c
Figure 6.4
SEM micrograph of the cross section of a tungsten stud showing high degree of planarity.
than the metal or if the layer may be detected. Therefore, the polish stop allows control of the polish end point. Oxide CMP, however, is a blind polish containing no such polish stop. For oxide CMP, either exact process control, which to date has not been demonstrated, or ex-situ wafer measurements are required to determine end of process. In addition, because metal removal is arrested by the polish stop or ILD layer, polish rate nonuniformity is less of a concern than with oxide CMP. In metal CMP,a nonuniform polish rate will not result in final film thickness variations across the wafer (unless dishing or erosion effects are severe). As with oxide CMP (Chapter 5), metal CMP may enhance yields by virtue of reduced defect densities. In addition to a reduction in nonplanarity induced defects (Section 5.24), CMP is a cleaner process than the relatively dirty RIE etch back processes. Figure 6.5(@shows a 3X reduction in particles using CMP vs. RE. The result is a decrease in metal-to-metal shorts on the subsequent interconnection level (Figure 6.6).@)As with oxide CMP, increased die yields is one of the major driving forces for acceptance of metal CMP processes for tungsten stud formation.('2) There are several concerns with the use of metal CMP as well. Exposure to a slurry of particles and aggressive regents can lead to particulate contamination and corrosion of the metal surface. However, just as with oxide CMP, proper post-polish clean
6.1 INLAID METAL PAT'lERNING
187
ner r
-
f .
- -I,
I
111
'Y
A
B
C
D
E
F
G
Lot #
F w e 6.5
Comparison of particulate levels for after tungsten removal by
RIE and CMP. (From Ref. (@.)
Lot #
Figure 6.6
Comparison of metal shorts for aluminum layer patterned aftex removal of blanket tungsten layer by R E and CMP (From Ref. (61.1
cycles have been shown to adequately remove slurry residue. In addition, properly designed slurry formulations result in a less corrosive environment than As discussed below, corrosion prevention results from the formation of a passivating film on the metal surface during CMP."') Surface roughness of the metal may be degraded by either scratching from the abrasive or by chemical attack. The optimized slurry formulation must maintain a balance between the chemical component and the mechanical component. A polish that is too
188
TUNGSTEN CMP PROCESSES
mechanical in nature will result in a badly scratched surface. A polish that is too chemical in nature will result in (localized) nonuniform chemical attack of the metal leaving a mottled surface. In either case, the surface roughness is degraded. In contrast, when the chemical and mechanical components are balanced, the two work together to produce optimal surface . . finish. . By moderating the mechanical abrasion, scratches are rrrrmrmzed. By moderating the chemical component, nonuniform surface attack is minimized. In addition, chemical activity Serves to smooth out scratches, while mechanical abrasion serves to smooth out any nonuniform chemical attack. For hard metals, such as tungsten, the level of scratching is minimal. Workers generally report highly specular polished tungsten surface^.(**'^“^) For softer metals, such as aluminum and copper, scratches are more of a concern. Joshi("' utilizes a hard metal capping of a l e u m and copper films to prevent such scratching. In this scenario (Figure 6.7), aluminum or copper is deposited to just under fill the trenches, followed by the deposition of a hard metal such as tungsten. The hard metal remains at the end of the CMP step to protect the surface of the soft metal in the trench. If the metal deposition occurs with perfect anisotropy (Figure 6.8), then the hard metal can be made to cover the entire width of the recessed area. Perfect anisotropy may be possible with collimated sputtering,(3)however, such processes are likely to have low deposition rates.(") Interconnection formation by CMP suffers from metal dishing and insulator erosion which reduce metal line thickness and degrade planarity. Dishing and erosion occur during the overpolish step (required to ensure complete metal removal across the entire wafer); they are defined schematically in Figure 6.9.'4) Dishing is the difference in height between the center of the metal line, which is the lowest point of the dish, and the point where the ILD levels off, which is the highest point of the ILD.Dishing occurs because the polishing pad bends slightly into the recess to remove material within the recess. Erosion is a thinning of the E D layer, resulting because the ILD polish rate is nonzero during the overpolish step. ILD erosion is defined as the difference in the ILD thickness before and after the polish step. In addition to dishing, metal may
-
6.1 INLADMETALPATTERNING
189
Hard metal cap
+Al or Cu SiO,
Figure 6.7
Schematic representationof the use of a hard capping metal to protect soft metals such as aluminum or copper.
ir Figure 6.8
The results of hard metal capping scheme (a) with anisotropic metal deposition and (b) without anisompic metal deposition.
be removed from within the trench if the slurry chemically etches the recessed metal. However, the properly formulated slurry will not etch the recessed metal. Dishing and erosion are highly pattern dependent.") Figures 6.10-6.12(*)show the results of an investigation into the dependence
190
TUNGSTEN CMP PROCESSES
Erosion
Figure 6.9
Schematic delinition of dishing and erosion. (From Ref. (4).)
4000 h
m
c .r v)
6
I:$@-t' 3000 2000
0
0.0
1.0
,
,
t
2.0
3.0
4.t
W line width (p)
Figure 6.10
Tungsten dishing vs. line width for two different pads. (From Ref. (S).)
6.1 INLAID METAL PATTERNING
5000
'
191
0 0
4Ooo
o 1 min overpolishing
h
5
3ooo
K
-
o nooverpolishing
E
u)
a
20001000
e
-
0
o 0
1
2
3
4
4
W line width (p)
The effect of overpolish on tungsten dishing. (FmRef. (8).)
Figure 6.11
5000
+ it
A AiSiCu
4000 -
sm
+
h
c .A=
0 Oxide
3000-
u)
is
CVDW
2000
-
1000
0 0
0
0
0 I
I
I
I
20
40
60
80
I
I
100 120 140
Metal or oxide line width (pm)
Figure 6.12
Dishing as a function of linewidth for an Al-Si-Cu alloy, tungsten, and SO,. (From Ref. (8)J
of dishing on linewidth, pad type, overpolishing, and the material being polished. The wider the metal line or the more compliant the pad (Section 4.5.1), the more the pad can deform to exert pressure
192
TUNGSTEN CMP PROCESSES
and remove material Within the recess. Intuitively, continuing to polish past the point at which the ILD is exposed will increase the level of dishing. ILD erosion is not dependent upon the linewidth, however, it is a function of pattern density, increasing as the metal pattern density increase^.'^) Note, however, that tungsten stud formation by C M P suffers only minimally from dishing or erosion effects because of the small contacthia dimensions (< 1 pm) and because of the relatively low pattern densities experienced at contact/via levels. A more extensive investigation into the pattern dependence of dishing and erosion for a copper/SiO, system is discussed in the next chapter. Addressing the concerns of metal CMP involves optimizing the pad and slurry as well as the other variables listed in Chapter 3 to obtain the desired CMP performance. As discussed previously, optimization of CMP processes requires an understanding of the chemical and mechanical mechanisms of CMP. In the following sections, tungsten and aluminum CMP are discussed, while in Chapter 7, copper CMP is discussed. 6.2
TUNGSTEN CMP
The first metal CMP process to be discussed here is tungsten CMP. We shall begin the discussion by presenting a surface passivation model for the removal and planarization of tungsten during CMP. The chemicals in the slurry passivate the metal surface by converting tungsten to a tungsten oxide. The passivating action assists removal of the high features because the oxide is softer than the tungsten. Passivation also slows removal of the low features because the oxide prevents the chemicals from etching the tungsten. Next we shall discuss tungsten CMP processes including the application of electrochemical measurements to screen potential C M P slurries, halogen-based CMP slurries, and the affect of abrasive type, abrasive concentration, and oxidizer concentration on the polish rates of tungsten and the underlying SO,.
6.2.1
Surface Passivation Model for Tungsten CMP
Key to the success of inlaid metal formation via CMP is the planarizing ability of the process. One method for achieving good
6.2 TUNGSTENCMP
193
planarization consists of designing a C M P process such that the high features on the substrate chemically etch faster than the low features." In this way, removal of the high features occurs at a greater rate until such time as all the features are at the same level and the surface is planar. According to Carr,(m)a metal CIW sluny may include an etchant and a passivating agent to realize the difference in etch rates between the high features and the low features. The passivating agent forms a surface film on the metal to protect the metal in the low areas from etching. Mechanical abrasion removes the surface filmin the high areas, allowing the etchant to etch the metal. In the low areas, the surface f h is not abraded because the polishing pad is rigid and therefore does not contact the low areas. Consequently, in the low areas, etching is inhibited by the surface film and removal is slow, while in the high areas, etching occurs due to the absence of the surface film and the removal rate is high. describe a successful tungsten CMP Kaufman et process as a competition between the formation and removal of a surface layer of WO,that forms naturally in the slurry solution. A bare tungsten surface is not thermodynamicdly stable in the low pH slurry described by Kauhan et al., and the chemicals quickly oxidize the tungsten surface to WO, according to the reductionoxidation reaction:(15)
w+we(ch363-+3~,0-+ W;O,+we(cN)64-+W(6.1) WO, is a self-limiting surface oxide, and once established prevents the further oxidation and dissolution of the underlying tungsten by the slurry. Note that the slurry formulations described in reference (15) contain the fenicyanide oxidizing agent, 6Fe(CN),3-. In the high areas on the wafer where the pad contacts the surface, mechanical abrasion removes the surface layer and exposes the underlying tungsten, allowing dissolution according to:('5)
~ + Q F c ( C ~ ~ - + 4 ~ ~ 0 ~ W O ~ - 6+2~) ~ C ~ ~ - + B H The slurry chemicals quickly re-form the surface layer, however, and a repetitive process of surface layer formation via chemical
194
TUNGSTEN CMP PROCESSES
action and removal via mechanical abrasion results in the removal of the metal in the high areas on the wafer. In the low area, where the pad does not contact the wafer surface, the film remains intact to protect the surface from chemical etching. The formation of a surface f i i is critical for pattern delineation because without the fii, the metal in the recessed areas will continue to be removed by chemical etching during the overpolish step, and a planar surface will not be achieved. For tungsten CMP, the formation of the WO, surface film has added advantages besides limiting the etch rate in the low regions. WO, is softer than tungsten and therefore abrades more readily. Thus, the formation of WO, assists in planarization by allowing higher removal rates in the high region via abrasion, as well as suppressing chemical etching of the low regions. In addition, WO, is an excellent passivating f i i against post-polish corrosion. The dense, nonporous nature of WO, prevents diffusion of corrosive elements to the underlying tungsten, thereby inhibiting corrosion. In general, metal CMP slurries are more chemically active than oxide CMP slurries. The formation of a surface layer is key to preventing wet etching of the low and recessed regions during metal CMP and is therefore important to the planarization mechanism. However, for softer metals, such as aluminum and copper, the surface f i may not have the same contribution to the removal mechanism as does WO, in tungsten CMP (i.e., conversion of the metal surface to a more readily abraded material). As discussed in Chapter 7, in some copper CMP slurries, formation of the surface film appears to be too sluggish to occur on the high regions during CMP. Here, the surface f i i only forms on the low regions to prevent wet etching there. 6.2.2
Tungsten CMP Processes
Tungsten CMP is most widely used for the formation of studs in contacts and vias. There are some reports of tungsten CMP utilized for the formation of interconnections;@)however, the relatively high resistivity of tungsten (5.65 @cm) compared to aluminum (2.66 fl-cm) or copper (1.67 pLz.cm), limits the use of
6.2 TUNGSTENCMP
195
tungsten as an interconnect metal. Because tungsten CMP is relatively new, there are fewer articles discussing the mechanisms of tungsten CMP(1ss21) compared to oxide CMP.
Electrochemical Behavior of Tungsten CMP One such article, by Farkas et al.,(21) investigates the corrosion behavior of tungsten in different polish slurries. The slurries consisted of 3 wt% alumina abrasive with the following chemicals - (1) %02, (2) (MH,),S,O,, (3) Ce(NO,)JHNO,, and (4) K,Fe(CN),. The electrochemical behavior of tungsten in each of these slurries is measured via a potentiodynamic scan both with abrasion (during polishing) and without abrasion (static solution). A potentiodynamic is similar to the electrochemical measurements discussed in Chapter 4. However, a potentiodynamic scan is more useful in that it may be used to approximate the mixed potential diagram, unlike a simple electrochemical potential measurement which only gives the equilibrium potential. During electrochemical activity, the electrochemical potential may be easily measured (Section 4.6). To create a mixed potential diagram, the corrosion current density must also be measured. The corrosion current density is more difficult to measure because the corrosion current flows from the site of the cathodic reaction to the site of the anodic reaction within the solution volume and is difficult to measure from outside the solution. (Note, the cathodic reaction is the reduction of oxidizing reagents in the slurry, and the anodic reaction is metal dissolution or the formation of a solid corrosion product such as WO,.) During a potentiodynamic scan, the system is slightly removed from equilibrium by the application of a small bias applied via a third auxiliary electrode (Figure 6.13).(22' This bias results in a small current flowing to the system through the auxiliary electrode. By scanning the applied bias, in both positive and negative directions, and measuring the resultant current through the auxiliary electrode, the general shape of the mixed potential diagram for the system may be obtained as shown in Figure 6.14.(=) Note that the measured current is slightly greater (in magnitude) than the current on the mixed potential diagram. This is because the applied current
196
TUNGSTEN C M P PROCESSES
LJ Potentiostat
P Solution bridge
L
A
&
&
REF AUX
\ 8
- WE
Figure 6.14
- Luggin probe
*/ c,
log i, The results of a potentiodynamic scaa of a metal in solution. Extrapolation of the Tafel regions back gives E,and i,, at the intersection point. (FromRef. (22). adapted by permission of PrenticeHall, Inc.)
6.2 TUNGSTENCMP
197
is not the true corrosion current, but rather the difference between the anodic and cathodic current that occurs as a result of the applied bias. However, by extending the measured curves from the linear region flafel region) back until they intersect, the equilibrium current density and potential may be ascertained. Figure 6.15"') shows potentiodynamic scans of tungsten during abrasion in each of the slurries investigated by Farkas et al. Table 6.1"" shows the mixed potential and corrosion currents obtained by extrapolation of the potentiodynamic scans for both abrasion and no abrasion. Because current density indicates the rate of metal oxidation, the higher the current density during abrasion, the higher the expected polish rate. Indeed, Figure 6.16 shows that the polish rate is approximately proportional to current density measured during abrasion. These results indicate the importance of electrochemical activity to the tungsten polish mechanism. Potentiodynamic scans of the metal in a static solution are useful in determining the passivation behavior of the metal in the solution. Figures 6. 17(2') and 6.18(21) show the potentiodynamic scans of tungsten in 5 wt% Ce(NO,)JHNO, and 10 wt% H202, both with and without abrasion. Without abrasion, tungsten in the Ce(NO,)JHNO, slurry exhibits a lower corrosion current and higher corrosion potential than during abrasion. These results indicate that in the Ce(NO,)JHNO, slurry and in the absence of abrasion, there is reduced chemical activity, suggesting the formation of a passivating film. Also, mixed potential diagrams of passivated metals exhibit a vertical slope in the passivation region (Figure 6.1gCu)). The vertical slope observed in Figure 6.17 for the no abrasion curve indicates the formation of a passivating film. Thus, the low etch rates experienced in the Ce(N0,)J HNO, slurry are a result of the formation of a passivating film, suggesting that good planarization behavior can be expected with this slurry. In contrast to the Ce(NO,)JHNO, slurry, the H202 slurry does not passivate the tungsten surface. Passivation in the H202 slurry is not expected because the slurry pH of 5.1 is above the pH where tungsten passivation occurs.(23) The lack of a passivation film is suggested by the relatively high etch rates in the KO2 slurry. In addition, the potentiodynamic scans in the static solution do not indicate passivation. Finally, the fact that abrasion does not
TUNGSTEN CMP PROCESSES
198
1
0.5
0
-0.5 -6
Figure 6.15
-5 -4 -3 log (VArea), Alsq cm
-2
Potentidynamic scans of tungsten during abrasion in four slurries. (FromRef. (Zl).)
1000 900 800 700 LT 600 A= 2 500 .-
2
0 L
3
400
300 200
-
-=
100 0-
I
Corrosion Current Density
Figure 6.16
The polish rate vs. current density measured during abrasion. (Adapted from Ref. (21).)
increase the mixed potential substantially, indicates that the nature of the surface is not changed by abrasion, further suggesting no surface film formation. Because a passivating film does not form slurry, poor planarization behavior is on the tungsten in the H202 expected with the H202 slurry.
c
m m
0.314 0.037 -0.025
2w% lw%
HZOZ 1Ow%
0.212
24.29
18
(v)
0.286
0.140
0.004
0.505
0.808
0.896
E,
0.236
0.024
0.030
0.061
0.033
0.167
L(mA/cm’)
No Abrasion
Ce’+refers to Ce(NO,),/HNO, s,o* refers to (NHJzS2O, FeCN refers to K,Fe(CN), The polish and etch rates are normalized to the largest value obtained which is set to 10oO.
FeCN 5w%
2w%
24
5w% 0.34
40
s,o, low% -0.226
11
24
110
0.32 0.22
569
loo0
Polish Rate
6.62
11.65
i,(mA/cm’>
5w%
Ce”
0.338
,E (v)
wJAbrasion
6
11
26
436
loo0
3
114
Etch Rate
Mixed Potential and Corrosion Currents for Abrasion and No Abrasion During WCMP‘”)
5w%
Solution
Table 6.1
200
TUNGSTEN C M P PROCESSES
1.5
ga 0.9
2 0.6 \
0
-7
-6
-5
-4
-3 log (VArea), A/sq cm
-2
-1
Potentiodynamic scans of tungsten in 5 wt% Ce(IU03)JHNO, with and without abrasion. (From Ref. (21).)
Figure 6.17
0.5 3 8 0,
0.4
0.2
s o
P g -0.2 W
-0.4
-8
Figare 6.18
-7
-5 -4 -3 log (VArea), A/sq crn Potentiodynamic scans of tungsten in 10 wt% H,O, with and without abrasion. (From Ref. (21).) -6
The results of Farkas et al. demonstrate that electrochemical processes are important in metal CMP and that potentiodynamic measurements can predict metal dissolution and surface film formation in these slurries. This information can then be used to predict the polish rate and planarization performance of a polish slurry. These relatively simple electrochemical measurements may then be used to screen slurry candidates quickly and efficiently.
6.2 TUNGSTENC"
F w e 6.19
201
log Corrosion Rate or Current Density The polarization diagram for a metal which may be passivated. Passivation occurs in the region where the slope of the polarization diagram is infinite. (From Ref. (2.2). adapted by
permission of PrentiCeHall, Xnc.)
5000
- 4
4000
-3 3000
4
- 2 2000
O
%
(n
- 1
1000
0- 0 0
Fi g~r e6.m
g
4
1
2 3 4 Silica Concentration
G,
5
Tungsten polish rate and selectivity to BPSG in slurries of silica abrasive plus an oxidizer as a function of abrasive and oxidizer concentration (Fmm Ref. (24).)
Tungsten CMP Results
Jairath et al.(24) have investigated the polish rate of tungsten and the selectivity (i.e., the ratio of tungsten polish rate to ILD
202
TUNGSTEN CMP PROCESSES
polish rate) to a variety of oxides. Figure 6.20'") shows the tungsten polish rate and the selectivity to BPSG in slurries of silica abrasive plus an oxidizer (which is not disclosed) as a function of abrasive and oxidizer concentration. Increasing the oxidizer concentration increases the rate of WO, formation and the dissolution rate of the tungsten, thereby increasing the removal rate. The higher oxidizer concentration does not appear to affect the BPSG polish rate appreciably (i.e., the selectivity increases proportionally to the tungsten polish rate). BPSG is an insulator and therefore not affected by the electrochemical activity of the oxidizer. Increasing the abrasive concentration increases the mechanical activity by increasing the number of cutting tools. This increase in mechanical activity increases both the tungsten and BPSG polish rates. The low selectivities achieved with BPSG in the silica slurry are undesirable because considerable insulator loss may occur during the overpolish step. High BPSG polish rates may be expected with silica slurries because oxide CMP slurries primarily employ silica. In contrast, Figure 6.21 shows the tungsten polish rate and improved selectivity to BPSG in an alumina slurry as functions of oxidizer and abrasive concentrations.(24)Note, that the tungsten polish rates are not greatly affected by the change in abrasive from silica to alumina. In the alumina slurry, the dependence of polish rate on oxidizer and abrasive concentrations is similar to that seen in the silica slurry. Lastly, Figure 6.22 shows a similar experiment (using higher abrasive concentrations) performed with TEOS oxide. Significantly higher selectivities to TEOS oxide than to BPSG are achieved.'") Recall from Chapter 5 (Figure 5.20) that BPSG polishes faster than other oxide types because the boron and phosphorous tend to soften the glass structure. Others have reported tungsten CMP selectivities to BPSG as high as 20: l.@) Yang et d.(l6) discuss tungsten CMP in slurries of alumina abrasive plus the halogens fluorine, chlorine, bromine, and iodine. Dissolved H,,Q, Br,, and I, gases in water are strong oxidizers which react with tungsten to form tungsten halides. (Note that it is the dissolved gases not ionic species such as F1-,C1-, Br-, or I- that oxidize.) Tungsten halides are solid compounds that are insoluble in water and thus are expected to act as good surface films during
6.2 TUNGSTENCMP
4
1
Tungsten removal rate. h mn i (ox:5X) Tungsten removal rate. h (Ox: 3X) Tungsten removal rate. hmin (ox: 1X)
6000 - 1
"0
1
2
:g-=-S e W i
203
S e W i (Ox: 1x1 S e W i (Ox: 3X) (Ox: 5X)
3
4
10
5
.,
Alumina Concentration
Figure 631
Tungsten polish rate and selectivity to BPSG in slurries of alumina abrasive plus an oxidizer as a function of abrasive and
oxidizer concentration. (From Ref. (24).) Tungaten removal rate. h i n (ox: 5X) Tungsten removalrate. h i n (Ox: 3X)
43- Tunusten removalrate. bJmin (Ox: 1x1
-W
so00
--
-
Selec6vii (Ox: 1X) S e k b i (Ox: 3X) SekcWity (Ox: 5x)
5
5000 4
4000 3000
2000
-5
cn u)
2000 2000
1
C
z
1000
n "
0
0
1
2
3
4
4
4
5
-
Alumina Concentration
Figure 6.22
Tungsten polish rate and selectivity to TEOS oxide in slunies of alumina abrasive plus an oxidizer as a function of abrasive and oxidizer concentration. (From Ref. (24).)
CMl?. In addition, the melting points of tungsten halides are low (200-300°C)compared to tungsten (3410°C) or tungsten oxides
244
TUNGSTEN CMP PROCESSES
(
6.2 TUNCSTENCMP
Figure 6.23
205
Optical micrograph of an aluminum line and tungsten stud structure. Dark dots are W studs and white lines are Al interconnect lines.
composition. Methanol and bromine evaporate quickly leading to concentration variations in the slurry. Increasing the water content in the slurry alleviates the pad and evaporation issues; however, it reduces the selectivity of the polish rate to SiO,. Yang et al. suggest that the optimal water content is between 10 and 30%.'16) Lastly, methanol and bromine are hazardous materials which require special exhaust and treatment systems. Concerned with the possibility of nonacceptance of the bromine-based slurries, several other oxidizers,which may not have similar environmental, health, and safety issues, have been explored. A slurry containing -0, in an acidic medium with Al,O, abrasive and used in conjunction with commercially available cloth pads has been developed.(w The slurry offers a high polish rate (up to 600 nndmin) of W, high selectivity to SiO,, and excellent planarity. These results clearly support the original Kaufman model for tungsten CMP. Specifically, a slurry that produces a surface film that is softer than tungsten and that is insoluble in the slurry produces the desired polishing results of high polish rates and good planarity.
206
TUNGSTEN CMP PROCESSES
6.3
SUMMARY
Inlaid metal processing using CMP can be an effective method of patterning tungsten and aluminum structures. The use of inlaid metal patterning schemes maintains the global planarity imposes on the surface originally by an oxide CMP step. Electrochemical processes play an important role in metal CMP through the formation of surface films and the dissolution of exposed metal surfaces. The surface film prevents metal dissolution in the low regions. In the high regions, the surface film is removed by mechanical abrasion allowing dissolution of the high regions. For tungsten, W03 forms a surface film that is softer than tungsten and therefore more readily abraded. The CMP process of W has been described.
REFERENCES 1.
2. 3. 4. 5.
6.
7. 8.
D.L. Brors, K.A.Monnig, J.A. Fair, W. Coney, and K.C. Saraswat, Solid State Technol., 27,293 (1982). S. Wolf,Silicon Processing for the VLSI Era, Lattice Press, Sunset Beach, CA (1990). S.P.Murarka, Metallization Theory and Practice for VLSI and ULSI, Butterworth-Heinemann, Boston (1993), p. 100. J.M. Steigerwald, R. Zirpoli, S.P. Murarka, D. Price, and R.J. Gutmann, J. Electrochem. SOC.141,2842 (1994). E.E. Broadbent et al., IEEE Trans. Electron. Devices, ED35, 952 (1988). C.Yu, S. Poon, Y. Limb, T.-K. Yu, and J. Klein, in Proc. 11th VMIC, Santa Clara, CA, Proc. #94ISMIC-103, Univ. of South Florida, Tampa (1994), p. 114. W.L. Guthrie, W.J. Patrick, E. Levine, H.C. Jones, E.A. Mehter, T.F. Houghton, G.T. Chiu, and M.A. Fury, IBM J. Res. Develop. 36, 645 (1992). C. Yu, A. Laulusa, M. Grief, and T.T. Doan, in Proc. 9th VMIC, Santa Clara, CA, Roc. W2ISMIC-101, Univ. of South Florida, Tampa (1992), p. 156.
REFERJ3NCES
9. 10. 11. 12. 13. 14.
15. 16. 17.
18. 19.
20. 21.
207
S.P. Murarka, J. Steigerwald, and R.J. Gutmann, MRS Bulletin, June (1993), p. 46. B. Luther et al., in Proc. loth VMIC, Santa Clara, CA, Roc. #93ISMIC-102, Univ. of South Florida, Tampa, (1993), p. 15. M. Hoshino, H. Suehiro, K. Kasai, and J. Komeno, Japan J. Appl. Phys. 32 (38) Pt. 2, L393 (1993). H. Landis, P. Burke, W. Cote, W. Hill, C. Hoffman, C. Kaanta, C. Koburger, W. Lange, and S. Luce, Thin Solid Films 220, 1 (1992). J.E.J. Schmitz, Chemical Vapor Deposition of Tungsten and Tungsten Silicides for VLSWLSI Applications, Noyes, Publications, Park Ridge, NJ (1992). C.W. Kaanta, S.G. Bombardier, W.J. Cote, W.R. Hill, G.G.J. Kerszykowski, H.S. Landis, D.J. Poindexter, C.W. Pollard, G.H. Ross, J.G. Ryan, S. Wolff, and J.E. Cronin, Proc. IEEE 8th VMIC, Santa Clara, CA, IEEE Cat. No. 91THO359-0, IEEE, New York (1991), p. 144. F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie, D.J. Pearsons, and M.B. Small, J. Electrochem. SOC.138,3460 (1991). K. Yang, R.J. Gutmann, S.P. Murarka, E. Stonebraker, and H. Atkins, Mat. Res. SOC.Symp. Proc. 337, 145 (1994). D.L. Heterington, R.P. Timon, B.L. Draper, J.F. Wang, 2. Liu, J. Currie, C. Fawcett, L. Cook, and J. Koppenbrink, in Advanced Metallization for ULSI Applications in 1994, eds. R. Bluemental and G. Janssen, MRS, Pittsburgh (1995). R.V.Joshi, IEEE Electron. Devices Lett.15,130 (1993). S.Roehl, L. Camilletti, W. Cote, D. Cote, E. Eckstein, K.H. Froehner, P.I. Lee, D. Restaino, G. Roeska, V. Vynorius, S. Wolff, and B. Vollmer, in Proc. 9th VMIC, Santa Clara, CA, Proc. #92ISMIC-101, Univ. of South Florida, Tampa (1992), p. 22. J.W. Carr,U.S. Patent 4,954,142, 1990. J. Farkas, R. Carpio, R. Bajaj, C. Galanaki, R. Jairath, B.P. Jones, and S.-M. Tzeng, in Advanced Metallization for ULSI Applications in 1994, eds. R. Bluemental and G. Janssen, MRS, Pittsburgh (1995).
248
TUNGSTEN CMP PROCESSES
22.
D.A. Jones, Principles and Prevention of Corrosion, Macmillian Publishing Co., New York (1992). M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, NACE, Houston, TX (1975). R. Jairath, M. Desai, M. Stell, R. Tolles, and D. ScherberBrewer, Mat. Res. Soc. Symp. Roc. 337, 121 (1994). K. Yang, S.P. Murarka, and R.J. Gutmann, private communication of unpublished results.
23. 24. 25.
CHAPTER 7
COPPER CMP
Copper CMP has several important differences to tungsten and aluminum CMP. The hardness of copper (-1-3 GPa")) falls between that of tungsten and aluminum. Thus, copper may be expected to abrade more readily than tungsten but exhibit less scratching than aluminum. Electrochemically, copper is noble compared to tungsten and aluminum, and therefore shows less of a tendency to oxidize in the slurry. These differences are important to consider in designing and understanding a copper CMP process. In this chapter, we shall first propose a model to explain the removal and planarbation mechanisms of copper CMP. Next, we discuss surface layer formation during copper CMP, which is important for planarization, followed by copper dissolution during CMP, which is important to maintain high removal rates. Next a comparison of copper CMP to the Preston equation is made, followed by a discussion of the abrasion mode during copper CMP. Lastly, we investigate the dishing and erosion behavior of copper CMP.
209
210
COPPER C M P
7.1
PROPOSED MODEL FOR COPPER CMP
To begin the discussion of copper CMP, we propose a model to explain the removal mechanisms of copper CMP which indicates the role of the chemical and mechanical components in the removal of material during CMP. According to Kaufman et al.,’2) during the CMP of tungsten, a WO, film forms on the tungsten surface to prevent the chemical etching of the tungsten. The role of mechanical abrasion is to remove the WO, film and expose a bare tungsten surface to the polishing slurry. The slurry chemicals then attack the bare tungsten to form a new WO, film and in the process dissolve some of the exposed metal surface. We utilize the Kaufman model as a basis for understanding the removal mechanism in the CMP of copper. Specifically, we hypothesis that a Cu,O film forms on the copper and that just as with tungsten, the surface film prevents the removal of the lowlying copper. However, we believe that the dominant mechanism for removal in the case of copper CMP is abrasion of material from the surface by the mechanical action, rather than direct dissolution of material at the metal surface. We hypothesize that the removal of copper during CMP is controlled by a two step process: 1. Mechanical abrasion of the copper surface followed by 2. Removal of the abraded material from the vicinity of the copper surface. The term “abraded material” refers to copper and/or copper oxides (or other compounds that form the surface film)that are mechanically dislodged by the abrasive action. Removal of the material from the vicinity of the copper surface may be achieved by one or more of the following mechanisms: 1. Dissolution of the abraded material, 2. Colloidal suspension of the abraded material, 3. Adsorption of the abraded material onto the abrasive article, or 4. Mechanical removal of the abraded material, i.e., the abraded material is swept away from the surface by the turbulent motion of the slurry.
7.2 SURFACE LAYER FORMATION
-PLANARIZATION
211
These removal mechanisms are discussed in detail in Section 7.3.1. In our slurry formulations, we attempt to maximize dissolution of the abraded species. Note that the mechanically dislodged material must have a high dissolution rate into the slurry, while the surface film in the recessed area must have a very low dissolution rate to prevent etching of the low regions. The dislodged material is not composed entirely of the metal but also the metal compound that forms the surface film. Thus, to satisfy both requirements of the high dissolution rate in the slurry and the formation of a surface film in the recessed areas, the surface area dependence of the dissolution rate becomes the key factor. Mechanically dislodged fragments, which could be as small as individual atoms or molecules, will expose a large surface area to the slurry. On the other hand, the surface film in the recessed areas will have significantly lower area exposed to the slurry. If the dissolution rate is strongly dependent on the surface area, one can achieve the desired chemical features of high solubility of the dislodged material and low dissolution rate of the low-lying surface film. This surface area dependence of dissolution is a common feature of the dissolution of most material^.'^)
In order to fully dissolve all of the abraded material, the solubility of copper in the slurry and the dissolution rate of the abraded material into the slurry must both be high. To obtain high solubility and high dissolution rates of copper, our slurries employ either (1) a combination of complexing agent and oxidizing agent or (2) an oxidizing acid. However, as will be demonstrated in Section 7.3.4, a high dissolution rate of the abraded material does
not guarantee a high dissolution rate of the surface being abraded. Indeed, in the slurry formulations to be presented, direct dissolution of the surface appears to be negligible. 7.2
SURFACE LAYER FORMATION PLANARIZATION
-
As discussed in Section 6.2.1, Kaufmad2) has shown that the formation of a WO, surface layer during tungsten CMP is
212
COPPER CMP
critical in the planarization of tungsten surfaces by CMP.The W03 prevents dissolution of the tungsten film in the low areas that are not abraded by the pad and slurry system. Surface film fonnation is also an important element to copper CMP. Native oxide and hydroxide films, G O , CuO, and Cu(OH),, may form in various slurries depending upon (1) the pH and electrochemical potential of the copper in the slurry and (2) the kinetics of the various reactions that are thermodynamically favorable at the given pH and Surface films may also be nonnative, as is the case with the formation of a Cu-BTA surface film in slurries containing benzotriazole (BTA). Because the surface film affects such important parameters as polish rate, planarhation, and post-polish corrosion, an understanding of surface film formation is critical in optimization of a CMP process.
7.2.1
Formation of Native Surface Film
The first step in investigating the native surface film is to determine what copper compound or compounds form the surface film Two methods are useful in predicting surface film formation. (1) By measuring the mixed potential and pH, the Pourbaix (Section 4.6.2) diagram may be used to make a thermodynamic prediction of the surface film However, while one copper state may be thermodynamically favored, CuO, for example, formation of that state may occur too slowly and a second state, -0, for example, may form in practice. Also, strong oxidizers or complexing agents alter the Pourbaix diagram, as seen with the Cu-NH,H,O system (Figure 4.32). (2) X-ray photoelectron spectroscopy (XPS)measurements of the surface give the chemical makeup and indicate bonding of the chemicals at the surface. Thus, XPS analysis gives a detailed chemical analysis of the surface. However, X P S cannot be performed in situ, and the chemical state of the surface may be altered during the post-polish rinse or by exposure to atmosphere or the vacuum required for the X P S analysis. Thus, potential measurements and XPS analysis alone do not yield definitive results. However, the combination of etch rate, polish rate and planarization results along with the potential measurements and the X P S data give a good picture of surface film formation.
7 3 SURFACE LAYER FORMATION
- PLANARIZATION
213
Film growth on surfaces being abraded is controlled by both growth kinetics as well as abrasion dynamics. At a given point on the surface, abrasion events (i.e., an abrasive particle traveling across the specified point) will occur in a discrete manner. The average thickness to which the film grows at any point on the surface will depend upon the film growth rate, the average time between abrasion events, and the average amount of material removed at each abrasion event. In addition, the abrasive particle may not remove the entire thickness of the film, and the surface may sustain some minimum thickness of surface film.
NH,OH Slurries
As discussed in Section 4.6.2, the fommtion of -0 in the 1 vol% NH40H slurry is predicted by potential measurements. The formation of a surface film is also suggested by the fact that the etch rate in the 1 vol% W O H slurry is low, c 1.5 nm/min. As shall be discussed in Section 7.3.2, the solubility of copper is high in the W O H slurry. The fact that the etch rate is low, despite the high solubility, is a result of the -0 surface film.The surface film acts as a barrier to etching and slows the dissolution of copper. Thus, a low removal rate at the low features is obtained in the W O H slurry. The dishing profile of a copper line polished in the -OH slurry shown in Figure 7.1 compares well to the dishing profile expected when no chemical etching occurs at the low features, supporting the supposition of a surface filmon the low surfaces during polishing. X P S analysis also indicates the formation of %O in the 1 vol% NH40H slurry. Figure 7.2 shows the XPS spectra for a copper film which was exposed to 1 v018 M 4 0 H for 10 minutes to allow the formation of a surface film. Prior to exposure, the film was polished in 1 vol% NH,,OH to remove any native surface films. The relative magnitudes of the Cu LMM peak at 337 eV (which indicates Cu+)to the Cu LMM peak at 335 eV (which indicates Cue) suggests that most of the copper at the surface is Cu'. The presence of Cu+ and 0-(peak at 532 eV) indicate the formation of CkO on the surface in the 1 vol% NH40H solution. Thus, in 1 vol% W O H and in the absence of mechanical abrasion
214
n
E
COPPER CMP
0
SO, +
- --
I
cu
I
- -_
SiO,
*-
c
v
E -100 .-u) a I -200 2 3 5
-300 -
LL
-400 -
-
a
0
I 50
I 100
I 150
I 200
-
(Pm) Figure 7.1
The d a c e profile of a copper line f m e d by Ch4P in a sluny of 1 ~ 0 1 %NH,OH plus alurnina abrasive using a Subam IV Pad.
CqO forms and therefore is expected to be the surface fiim on the copper in the low regions where mechanical abrasion is absent. Figure 7.3 shows the X P S spectra for an unpattemed copper film that has been polished in a 1 vol% NH40H plus alumina abrasive slurry and then rinsed immediately after polishing. Here no appreciable oxygen is detected and the small peak at 337 eV suggests that only a small amount of Cu+is present. (The Cu+ may be bonded to the chlorine as CuCl forming post-polish in the rinse cycle or during transfer to the desiccator.) Thus, C$O is not present on the polished surface. While CqO growth does occur in the NH40H slurry, apparently the growth is too slow to maintain a -0 film on surfaces during abrasion. We conclude that cU,O is not present on the high surfaces during polishing, but forms on the low surfaces where abrasion does not occur. In contrast to the 1 vol% NH40H slurry, in a slurry of NH40H plus ferricyanide ions a surface film readily forms on the copper. X P S analysis indicates that a surface film of copper ferricyanide (Cu,Fe(CN),) is present on the copper surface after C M P in slurries of 1 vol% NH40H plus 0.2-5.0 wt% potassium ferricyanide (K,Fe(CN),). Figure 7.4 shows the XPs spectra for copper polished in 1 vol% NH,,OH plus 0.5 wt% K,Fe(CN),. Fe,
7 6 SURFACE LAYER FORMATION
10
1
1
1
1
1
1
1
1
-PLANARIZATION
1
I
I
9 -
I
I
I
215
I
-
Cwper(LMM1 337.0eV
8 7 -
348
350
346
344
342
340
338
336
334
332
330
Binding Energy (eV) ,
1
1
1
1
1
1
1
1
1
1
1
1
-
Oxygen IS 530 63 ev
"
7 -
2. w z 2 -
544
542
540
538
536
534
532
530
528
526
Binding Energy (eV)
Figure 7.2
XPS spectra for copper film exposed to 1 vol%N€&OH slurry for 10 minutes after polishing to remove native surf= films.
N, C, C1, and 0 are present on the surface; however,the 0 does not persist as the film is sputtered. The relative magnitudes of the Cu" peak at 339 eV to the Cuopeak at 335 eV suggests that most of the copper at the surface is Cu". The Cu+peak is shifted relative to the Cu" peak shown in Figure 7.4 due to bonding with the Fe(CN);-.A
COPPER CMP
216 101
I
I
I
4'
3L
2
y
I
I-
n
(1
3 A
1
-
I
n lo00
I
I
I
I
800
600
I
I
I
I
200
400
~
0
Binding Energy (eV) 10 9
8 7
344
342
340
338
336
334
332
330
Binding Energy (eV)
Figure 73
XPS specha for copper tihn polished in 1 vol%NH,OH slurry and rinsed immediately after polish.
similar analysis of the iron peaks leads to the conclusion that Fe3+ is the dominant iron species. Finally, shifts in the carbon and nitrogen peaks indicate the presence of a CN bond. The presence The of Cu+,Fe%, and CN indicates the formation of Cu,Fe (cw),. origin of the Cl remains unresolved; however, contamination of the slurry from either the water or the polishing cloth is likely. From the XPS data, it is still not clear if the Cu,Fe(CN), is present on the copper surface during polishing or if it forms immediately after polishing before the slurry is rinsed off from the
73 SURFACE LAYER FORMATION
-PLANARIZATION
217
10 9
8 7
"
1000
800
600
400
200
0
Binding Energy (eV)
Binding Energy (eV) cu
Binding Energy (eV)
Figure 7.4
X P S spectra for copper film polished in 1 ~01%NH,OH wt%
WdCN),slurry.
+ 0.5
218
COPPER CMP
copper. However, the surface film clearly forms much quicker in plus K,Fe(CN), slurry than in the WOH-only slurry. the -OH Fe(CN),3- readily oxidizes copper, as will be demonstrated in Section 6.4.3. The driving force for oxidation of the copper is greater in the NH40H plus K,Fe(CN), slurry than in the W O H slurry so that the formation of the surface film is more rapid. Rapid formation of the surface film assures that the surface film will be present on the low regions to prevent etching of the low regions during polishing even if a low degree of abrasion occurs in the low regions. In both -OH and NH,OH plus oxidizer slurries, a low etch rate of copper in the slurry is indicative of the formation of a surface film and the effectiveness of the surface film. Table 7.1 lists the etch rate and polish rate of various NH.,OH-based slunies. The ratio of the polish rate to the etch rate indicates the ability of the slurry to planarize. A very high ratio suggests that, as long as the low areas are not abraded, the high areas will polish much faster than the low areas and planarization will be rapid. In addition, a very low etch rate is important in minimizing the amount of etching of the recessed metal that occurs during the overpolish. Note that planarity is also a function of the pad properties (in terms of dishing), so that planarity may be poor even with a low etch rate. While etch rate information does not give the detailed chemical analysis that X P S yields, the etch rate is a simple metric for predicting the planarization capability of the slurry.
HNO, Slurries In low pH oxidizing solutions, copper is not expected to form a surface film.The copper corrosion potential measured in 1 VOW HNO, is 100 m V,,. At a pH of 1 and a potential of 100 Table 7.1 Slurry 1 v o l z NH,UH 1 ~ 0 1 %NH,OH 1 vol% %OH
1 vol%NH,OH
Polish Rate and Etch Rate in NH,OH Slurries
+ lwt% &Fe(CN), + 1.4 wt% W N 0 3
+ 1.6 wt% Cu(NO&,
Etch Kate
Yollsh Kate 0.63 p / m m
2nm/min 2 nm/min
2.6pmhin 0.72 W m i n 1.15 a m i n
8 nm/min
7.2 SURFACE LAYER FORMATION
"
1000
800
600
-PLANARIZATION
400
200
219
0
Binding Energy (eV)
Figure 7.5
X P S spectra for copper film polished in 1 vol%HNO, slurry.
mV,, the Pourbaix diagram (Figure 4.31) predicts 0.1% stability. The X P S analysis shown in Figure 7.5 of a copper f h polished in 1 vol% HNO, slurry indicates that no surface film forms on the copper during polishing. The weak Cu+ and 0 peaks are indicative of a small amount of -0 on the surface; however, this -0 is likely to have formed by the strong NO, oxidizer after polishing and before the nitric acid was rinsed from the surface. Low etch rate measurements of copper films in HNO, (Figure 7.6) also suggest the absence of a surface film on the copper. (Even, if a surface film does form on the copper during etching in the HNO,, the film is ineffective at blocking the etching.) The linearity in the etch rate with HNO, concentration in Figure 7.6 also suggests that no surface film is forming. If a film did form on the copper in the HNO, solutions, the film thickness would be expected to grow as the NO; oxidizer concentration increases. The film would represent a partial barrier to etching, and as the film thickness increased, the film would become more of a barrier to etching and the slope of the etch rate vs. HNO, concentration would decrease at higher concentrations. Because the slope is constant, there is not likely to be a film forming on the copper surface during etching.
COPPERCMP
220
2
3
0.6
2 L:
0.4
a, w
Y w
3
-
-
rn
0.2 - m A
I
A
I
I
HN03Concentration (wt%)
Fgnre 7.6
7.2.2
The etch rate of capper with and without 1 wt% BTA added to the etch solution vs. HN03 concentration.
Formation of Nonnative Cu-BTA Surface Film
The disadvantage of using n i ~ acid c in the slurry is that the high etch rate results in a high removal rate in the recessed areas. A protective surface film does not form on the copper in nitric acid to prevent copper dissolution in the recessed areas during the overpolish step. However, reagents may be added to the slurry to form a nonnative surface film that protects the copper from dissolution. Benzotriazole (BTA) is known to inhibit corrosion of copper in aqueous media by forming a monolayer of Cu-BTA on the surface to act as a passivating film.(3 Figure 7.6 shows the etch rate of copper vs. nitric acid concentration with and without BTA. BTA blocks the etching of copper in the slurry, and therefore should prevent dissolution of the recessed copper. In principle, the addition of BTA to the slurry should provide an effective surface layer during polishing. However, the Cu-BTA layer must (1) not inhibit the polishing action in the high areas and (2) remain intact on the low areas, i.e., must be mechani-
7.2 SURFACE LAYER FORMATION
-PLANARIZATION
221
cally stable enough that it is not disturbed by the turbulent motion of the slurry. If these conditions are met, the polish rate in the high areas, where mechanical abrasion occurs, will be accelerated by the etching action of the HNO,, while the removal rate in the low areas will be minimized by the formation of the Cu-BTA surface layer, yielding high polish rates with good surface planarity. The polish rate of copper on 125 rnm wafers using a Strasbaugh 6CU polisher and a slurry of alumina abrasive, 1 ~ 0 1 % HNO,, and 0.1 wt% BTA slurry is 0.84 p/min, 70% of the polish rate of 1.0 pm/min obtained without the BTA. Therefore, the BTA does inhibit the polishing to some extent. However, the polish rate is still considerably higher than the rate in NH.,OH or H,O slurries. To examine the effect of BTA on surface planarity, a profdometer may be used to measure the dishing of the inlaid copper structures. Dishing occurs because the removal rate of the SiO, is less than the removal rate of the copper in the recess. As discussed in Section 4.5, the pad is not perfectly rigid and smooth and therefore will bend and reach into the recess to remove material below the top plane of the SiO,. Dishing by this mechanism results in the rounded dish-shaped profiie of the copper surface shown schematically in Figure 7.7b, because the pad will reach farther into the recess at the center of the line than at the edges. Alternatively, if the slurry etches the copper, the copper will be removed from the recess even when the pad is not contacting the recessed copper. Because etching occurs at equal rates across the width of the line, removal due to etching results in a flat profile within the recessed areas, as shown in Figure 7.7~. The NH40H slurry does not etch copper and therefore we expect the dishing to be due only to the pad reaching into the recessed region. Indeed, profilometer traces of copper surfaces polished in 1 vol% W O H (Figure 7.1) show a dish-shaped profile. Alternatively, in Figure 7.8 the surface of the copper polished in HNO, is flat with a step to the oxide level similar to the profile shown in Figure 7.7b, suggesting that etching dominates the copper removal in the recess. However, the HNO, plus BTA polished surface in Figure 7.9 shows the dish shape seen with the NH.,OH slurry. The dish-shaped profile seen with the HNO, plus BTA slurry suggests that the dominant mechanism for removal of
222
COPPERCMP
7
7
(c)
Figure 7.7
(a) Ideal d a c e profile of an inlaid metal line. Removal of copper below the top surface of the dielectric during overpolish due to (b) the pad bending into the recess and continuing to abmk the recessed metal (termed dishing) and (c) chemical etching of the recessed copper.
the recessed copper is again bending of the pad and that etching of the recessed copper is reduced by the Cu-BTA surface film, which remains intact on the surface of the recessed metal during polishing.
7.3
MATERIAL DISSOLUTION
The model described in Section 7.1 suggests that once material is abraded from the copper surface, it must be removed
73 MATERIAL DISSOLUTION
223
KA 1.6 1.2 0.8
0.4 0.0 I
I
20
Figure 7.8
40
Figure 7.9
I
I
30 urn
40
30
The sudhce profile of a copper line formed by CMP in a sluny of 1 vo18 HN03plus alumina abrasive and (b) 1 v018 HNO,. The flat profile of the copper line is indicative of copper dishing due to chemical etching. The sample received approximately no overpolish.
50
60
70
urn
The surface profile of a copper line formed by CMP in a slurry of 1 ~01%N H q and 0.1 wt% BTA plus alumina abrasive using a Subam IV pad. The dish-shaped profile of the copper l i e is indicative of copper dishing due to the pad and not chemical etching. The sample received approximately 25% overpolish.
from the vicinity of the surface so that it does not redeposit. In addition, the abraded material must not return to the region near the copper surface as the pad makes a full revolution. In this section, several possibilities for removing the abraded material from the copper surface will first be discussed. Then, the method used pri-
224
COPPERCMP
rnarily in this thesis to remove the abraded material from the surface, dissolution of the abraded material, will be discussed in
detail. 7.3.1
Removal of Abraded Material
Whether the abraded material consists of copper or the copper compounds of the surface layer, removal of the abraded material from the active polishing region is critical. If not removed, the abraded material may redeposit back onto the surface immediately following abrasion or form precipitates in the slurry. By lowering the net removal rate, redeposition of abraded material lowers the polish rate. Figure 7.10a shows redeposition of abraded material into the low regions of a patterned copper surface (note that the surface is not yet planarized). If the solubility of the copper in the bulk slurry is low, the abraded material may form precipitates of copper at low pH or copper oxides at high pH. Precipitates may then either remain in the slurry and scratch the copper surface or redeposit onto the surface in the form of particulate contamination. Figure 7.10b shows a micrograph of redeposited copper oxide precipitates formed during CMP in an H,O slurry. These precipitates are 20-30 pm in diameter, several orders of magnitude larger than either the abrasive particles or the minimum features on the wafer. To prevent redeposition, there are several possible scenarios for removing the abraded material from the active polishing region. One can also envision a set of conditions by which the mechanically dislodged fragments go into the slurry and are removed from the active polish areas without dissolving. If the combination of slurry reagents and the size and charge of the dislodged fragments are such that the fragments form a colloidal suspension of very fine aggregates, the abraded material could be removed before it causes damage or redeposits onto the surface. A second possibility is that the dislodged fragments could be adsorbed onto the abrasive particles surfaces. According to this removal mechanism dominates in the CMP of SiOz (Section 4.2). If such a deposition process does not significantly affect the abrasive particle size, suspension behavior, or abrasive
73 MATERIAL DISSOLUTION
Figure 7.10
225
(a) opticalmicrograph of mkposited copper oxide precipitates formed during copper CMP in an KO slmy. Precipitates are black and rough, indicating that they are copper oxides which agglomerate in the slurry. (b) Redejmitionof abradedmaterial into mess regions in 1 v018 NH,OH slurry.
nature prior to removal from the active area, a desired removal mechanism is achieved. In a third removal mechanism, the abraded matexial is swept away from the copper surface by the turbulent motion of the slurry. Assurances must be made that the abraded material does not return to the copper surface at a later point in the process. If the pad has long fibers, the abraded material may fall into the pad where it is held away from the surface. In an KO only slurry, the presence of precipitates is indicated by the black color of the slurry during CMP. When polishing with a napped cloth comprised of long
226
COPPERCMP
fibers, these precipitates do not redeposit onto the copper surface, most likely because they are trapped away from the copper surface by the long fibers of the cloth. In contrast, polishing with a Subam IV pad, which has short fibers, and an q0 slurry results in a large degree of redeposition (Figure 7.10b). The final method of removing the abraded material is to dissolve the material into the slurry as it is abraded from the surface. This technique requires increasing the solubility and the dissolution rate of the abraded material into the slurry. Solubility must be high to prevent precipitate formation. The dissolution rate must be high to ensure that all of the abraded material is dissolved . . and the abrasion efficiency is maxlrmzed. In the copper slurry formulations described below, we have attempted to maximize the solubility and dissolution rate of the copper in the slurry, and therefore dissolution of the abraded material is expected to be the dominant removal mechanism. However, the removal of the abraded material may occur as a combination of several of the above mechanisms. For example, the abraded material may initially fall into the pad undissolved, where it then dissolves. Such a scenario was described in Section 4.6.2 where it was observed that when the concentration of polish by products in the pad is high, the slurry initially turns black, indicating incomplete dissolution and the formation of copper oxide precipitates. With time, however, the slurry turns blue, indicating dissolution and the formation of the Cu(NH,),' complex. Thus, the optimum polishing conditions may provide for a combination of removal mechanisms. 7.3.2 Increasing Solubility with Complexing Agent
The key to the high solubility of the copper ions in the W O H slurry is the complexing action of the dissolved NH, gas that is prevalent in aqueous solutions of -OH. In pure water, copper ions are surrounded by polar water molecules which form coordination bonds with the ion. The solubility of copper in pure water is determined by the ability of the water molecules to shield the charge on a given copper ion from other copper ions in solution. Complexing of copper occurs with NH, because the polar
7.3 MATERIAL DISSOLUTION
cu2+
H H:N:Cu2+ H
[CUH20)6I2+
[Cu(NH3)(H20)5I2+
(a) Figure 7.11
(b)
227
NH3 NH3:Cu2+:NH3 NH3 [CU(NH3),(H20),I2' (c)
NH3 complexing of copper ions. (a) Uncomplexed ion, (b) single complexing of ion, (c) fourfold complexing of ion. The NH3 shields the Cua ion charge from other Cua ions in solution, which inthe solubility of the Cu2+ion.
NH3 molecules replace the water molecules'that surround the copper ions in solution, Figure 7.11.(6) The NH3 molecules are more effective at shielding the charge, therefore complexed copper ions m a y move closer to each other in solution, allowing more ions in solution and thus higher so1ubility.Q In Section 4.6.4, the polish rate of copper in W O H and NH4NO3 slurries when polishing without slurry flow was discussed. To understand the effect of copper complexing, we examine the effect of flowing the N€&OH and NH,NO, slurries on the polish rate. With slurry flow, the potential trace is flat for both slurries, although it begins at the same potential as without slurry flow, suggesting that the slurry flow removes most of the copper ions from the pad. In addition, for W N O , flowing slurry increases the polish rate from 170 ndrnin to 310 nm/W, however, flowing slurry does not affect the polish rate in the NH40H slurry. In W4NO3 without slurry flow, the polish rate is depressed because Cu2+ions build up in the slurry, as discussed below. As the concentration of Cuz*ions increases, the equilibrium for copper reduction:
shifts to the right, reducing the driving force for dissolution and hence reducing the dissolution rate. When the dissolution rate decreases, the efficiency of the mechanical abrasion decreases as the abraded species redeposit onto the surface and decrease the polish rate.
228
coppwcMP
For W O H whether the slurry is flowing or not, the difference in polish rate is negligible because the NH3 complexes WOH the abraded copper entering the slurry. In both the 1 ~ 0 1 % and 1.4 wt% NH.,NO, slurries, we hypothesize that the abraded copper is first dissolved as CuB and then complexed to Cu(lUH,);. Thus, dissolution of the abraded maferial occurs by the following sequence:
cu
step 1: S t q 2 Cu2'
+
-#
cu2'
2NH3
+
c-
+
2e-
+
Cu(NHd2+
(73)
The rate of dissolution, ie., the rate that reaction (7.2) proceeds to the right, depends upon the concentration of CuB,not the total dissolved copper concentration. Complexing, which converts CuB to Cu(NH3),+,increases the dissolution rate by lowering the Cu2+ ion concentration. As discussed in Section 7.3.3, reaction (7.2) appears to be the rate limiting step. The difference between the two slurries in polish rate behavior with slurry flow is a result of the degree of copper complexing obtained in each slurry. The ratio of Cu2* ion concentration to Cu(NH3)," ion concentration at equilibrium may be determined from the equilibrium constant for reaction (7.2):"
For the W O H slurry, the equilibrium between NH, and NH: given by:@)
NH, + H20-NH,+ At 1 vo196 W O H
+
OH-
kb = 1.8~10"
is
(75)
7.3 MATERIAL DISSOLUTION
229
PH = 11 ~ O H J= 1 0 - 3 ~ ) [NH,7 + [NHJ = 0.67 M By considering the equilibrium for reaction (7.9,the concentration of NH, is obtained as:
‘b
Solving for (NH,) gives: = 0.164
M
Plugging this result into Equation (7.4): [cu-l = 5.2~10’~ for 1.0 ~ 1 NH,OH % [CU(N~3)~+1
(7.7)
A similar analysis for the NH,,NO, slurry gives: pH = 4.7, [OH-]
[‘*-’
=
5.0~10-’~
= 0.64 for 1.4 wt% NH,N03 [C~(N~3)~+1
(7.8)
The ratio of uncomplexed to complexed copper ions is higher for the “0, slurry because at a pH of 4.7, most of the ammonia
230
COPPERCMP
is in the form of NH.,+, and consequently there is little NH, available to complex the copper ions. In the W O H slurry, most of the C U ions ~ are complexed by the NH,. Thus, as the total concentration of copper species in the slurry increases, the Cu2* ion concentration does not increase substantially. Therefore, the additional Cu% ions that build up when not flowing slurry do not interfere with the dissolution of copper to Cu2* (as long as the Cu2*ion concentration does not exceed one half the NH, concentration). In the W N O , slurry, most of the copper ions remain uncomplexed and (3% ions accumulate when there is no slurry flow. As the concentration of C Uions ~ increases, the driving force for reaction (7.2) decreases; consequently, the dissolution rate of copper decreases and the efficiency of the mechanical abrasion decreases, yielding a lower polish rate. It is instructive to plot Equation (7.6) graphically to show the dependence of the NH,concentration (and thus the complexing power of the slurry) upon pH. Figure 7.12 shows the fraction of the total dissolved ammonia occurring as NH, vs. pH. At a pH of approximately 10, a sharp drop in the concentration of NH,occurs. Below pH 8, the ability of the slurry to complex the copper declines, as with W N O , . The next section further discusses the effect of lowering pH on the ability of ammonia-based slurries to complex the copper.
7.3.3
Increasing Dissolution Rate with Oxidizing Agents
Oxidizing agents such as NO; and Fe(CN):- may be added slurries to increase the copper polish rate by increasing the dissolution rate of the abraded material. In order to form the complex ion, the NH, complexing agent fi st requires the oxidation of copper to Cu2+(reaction (7.2)). Reaction (7.2) requires an associated reduction reaction to sink the electrons. Even if the NH, were to complex the copper metal directly in one step: to the WOH-based
Cu + 2N.H3 e-
+
Cu(NHd2'
(7.9)
a reduction reaction would still be required to sink the electron.
73 MATERIAL DISSOLUTION
231
1
0.9 0.8 0.7 0.6 0.5 0.4
0.3 0.2 0.1
0
0
2
4
8
6
10
12
14
PH Figme 7.12
The fraction of total ammonia dissolved as w(g)vs. pH.
As mentioned in Section 4.6.1, in the absence of other species that are more oxidizing, the reduction of 0,drives the dissolution of copper. However, if other oxidizing agents are present in the slurry, their reduction may accelerate dissolution of the abraded material. The oxidizing agents speed the dissolution of the abraded material by increasing the driving force for reaction (7.2) to proceed to the right. Figure 7.13 shows schematically the mixed potential diagram of copper in the presence an oxidizing ion such as NO;. With the NO; oxidizing agent, the intersection of the curves shifts to higher potentials and higher current densities. The higher current density increases the dissolution rate of the copper and, as will be demonstrated, increases the polish rate.
Nitrate Ion NO; ions may be introduced into the slurry by the addition of nitrate salts. The addition of W N O , supplies not only the NO, ion but also ammonia. Figure 7.14 shows the polish rate of copper vs. the concentration of W N O , in the 1 vol% W O H slurry. Only a nominal increase in the polish rate is observed in Figure
232
COPPERCMP
-cd
. L
c
c
a,
c
0
a
-
I
Ai
Log Current Density
Figure 7.W
Schematic representation of the effect of an oxidizing agent,
N@, on the mixed potential. The addition of an oxidizing agent shifts the equilibrium to a higher (morenoble) potential and a higher c m n t density.
1700 c .-
E E
\
-
-
h
1400 -
-
1100 c 800 .0 a t v
v)
*
+
+ + +
+
500 -
-
200
I
I
I
I
I
I
I
,,,I
I
I
I
I
8
11,.
NH4N03Concentration (mole/L)
Figure 7.14
Copper polish rate vs. NH$J03 concentration in the 1 volZ NI&OH slurry. (125 mm wafm polished on Strasbaugh 6CU polisher with 2.5 wt% alumina abrasive, 15 kPa, and 130 Cm/sec.)
7.14. One explanation for the low increase in polish rate is that the “0,salt only partially dissociates in the slurry. The dissocia-
73 MATERIAL DISSOLUTION
233
tion constant of W N O , in water is given by a pK, = 9.6 (where pK, = -log,, &) at an "0,concentration of 2 M.O When mixed with 1 vol% NH.,OH, only a small fraction of the m N 0 3 salt dissociates in the slurry for W N O , concentrations less than 0.167 M. The result is that the W N O , salt does not supply enough NO; ion to substantially affect the polish rate. By adding a nitrate salt that more completely dissociates, the supply of NO, is increased. The dissociation constant for Cu(NO,), is given by a pK, = -0.6 at a Cu(N03)2concentration of 2 M.@)Cu(N0J2 may be considered to completely dissociate in the slurry according to: (7.10) yielding a NO; concentration of approximately twice the total Cu(N03), concentration. Figure 7.15 shows the polish rate of copper vs. Cu(NO,), concentration for slurries of 1 ~ 0 1 %W O H plus (1) &(NO,), and (2) Cu(NO,), and W N O , . In the second slurry, the N€&NO, was added at twice the concentration of CU(NO,),. Figure 7.15 demonstrates two important points. First, when dissociation of the nitrate salt is high, the NO; ion concentration is high and effective at oxidizing and dissolving the abraded material. The nitrate ion accelerates reaction (7.2) by increasing the driving force, which leads to a higher polish rate. Thus (7.2) is the rate limiting step. If reaction (7.3) were the rate limiting step, than acceleration of reaction (7.2), and hence the addition of an oxidizer, would not increase the polish rate in Figure 7.15. Figure 7.15 also demonstrates the importance of the NH, complexing agent in the CU(N03), slurry. Recall from Section 4.6.5 that the copper polish rate decreases when CU(NO,), is added to the H20 only slurry. In the &O-only slurry, the increase in Cu% ions inhibits dissolution of the abraded material. However, when the Cu(NO,), is added to the 1 ~019% m O H sluny, NH, complexes the Cu2+ions from the Cu(NO,), salt so that the Cu2+ions do not inhibit copper dissolution. In addition, by complexing the Cu2+ ions, the NH, drives reaction (7.10) further to the right, increasing the supply of NO,'.
234
COPPERCMP
1700 E 1400 E 1100 -; c m U 800 L 0 -0 .0 n 500 o 0 ' '' 200 I ' '
0 Cu(N03)2+NH4N03
h
c
0 Cu(N03)2
\
W
0
80
3
Figure 7.15
I I (1'111
00 '1"'1
' ''
I ""11 1"'11
' ' ' ""'1
' ''
Cu(N03)2+NH4N03 pH=9
-
Copper polish rate vs. NO,-concentration in the 1 vol% NEEOH no,), slw (0) and CUWOJ, Plus W N 0 3 slurry 0.The filled symbols indicate slurry pH adjusted to 9. (125 mm wafers polished on Slrasbaugh 6CU polisher with 2.5 wt% alumina abrasive, 15 kPa, and 130 cm/sec.)
If too much Cu(NO,), is added to the 1 vol% NH40H slurry, the polish rate decreases dramatically as seen in Figure 7.15. Cu(NO,), decreases the pH of the slurry, which decreases the supply of NH3 (Figure 7.12) by driving reaction (7.2) to the right. Figure 7.16 shows the pH (measured) and NH, concentration (calculated) vs. the concentration of Cu(NO,), in the 1 vol% NH40H slurry. The polish rate decreases dramatically due to the decrease in NH,concentration when the concentration of CU(N03), exceeds approximately 0.25 M. At the high Cu(NO,), concentrations complexing of the cuz" ions (reaction (7.3)) becomes the rate limiting step due to the low supply of NH,. The high polish rate may be recovered at high Cu(NO,), concentrations by adding NaOH to increase the slurry pH to 9 (Figure 7.15). At a pH of 9.0, the concentration of the NH, is approximately 0.11 M in the 1 vol% W O H slurry. Thus, there is an adequate supply of NH,, and the polish rate is in line with the polish rate observed at lower Cu(NO,), concentrations. The oxidizing action of the NO, ion may be demonstrated by measuring the mixed potential of copper in CU(NO,), solutions. Figure 7.17 shows the static potential of a copper wire in 1 vol%
7 3 MATERIAL DISSOLUTION
1E+O
2 0
0 -
1
1E-1 I
a-
In
235
w 1 1E-2
00 3
6 -
: 1E-3
4 -
0
3 2
2 - +pH
0
[NH3]
0
1E-4.!!.
I
I I I
inn1
t
I
I I I 1111
I
I
I I
!ILL
1E-5
Concentration (molar)
Cu(NO,),
pH and NH3(g) concentrattion vs. the concentration of Cu(NOJ, in the 1 ~01% -OH slurry. Cu(NO,), lowers the pH, which shifts the equilibrium fmReaction(7.5) to the right, -g the supply of ~ 3 W .
Figure 7.16
400 h
g
300
*
200
I uj
>
>
E v a .+
100
0
g
-100
a
-200
+ 0
-300 I 0.001
I
0.01
Cu(NO,),
Figure 7.17
I
I
0.1
1
10
Concentration (wt'h)
Static potential of copper wire in 1 VOW NH.,OH plus no,),.
NH40H plus Cu(NO,),. The potential increases as the concentration of NO, increases. Figure 7.13 shows schematically how the
236
COITERCMP
increase in corrosion potential with increasing NO; concentration indicates an increase in copper dissolution current density. Ferricyanide Ion
A second oxidizing agent that may be used in conjunction with the NH, complexing agent is the ferricyanide ion. Ferricyanide is reduced to ferrocyanide according to:
2Fe(CN):-
+ 2e --2Fe(CN)t-
(7.11)
The reduction of ferricyanide increases the dissolution rate of copper. Figure 7.18 shows the polish rate of copper vs. the concentration of K,Fe(CN), for two different pressures. Once again, a large increase in polish rate is seen with the addition of an oxidizing agent. It is likely that the ferricyanide ion also acts as a complexing agent for the copper. Figure 7.19 shows the potential of a copper wire immersed in a 1 v018 NH40H plus ferricyanide solution. Normally, an oxidizing agent increases the corrosion potential. However, by complexing the copper ions the ferricyanide ion lowers the reversible potential of the copper. Thus, while the mixed potential curve for the reduction reaction, reaction (7.11), tends to shift the corrosion potential in the noble direction, the mixed potential curve for the oxidation reaction, reaction (7.1), is shifted in the active direction due to complexing. The result is that only a slight change in the corrosion potential occurs, as shown in Figure 7.19. One complication with using ferricyanide to increase the polish rate is that the surface roughness increases. Figure 7.20 shows the average surface roughness vs. ferricyanide concentration of copper films polished in W O H plus ferricyanide. When the chemical dissolution rate of the surface is higher than the mechanical abrasion rate, the mechanical action cannot keep up with the chemical dissolution and removal occurs nonuniformly instead of in a planar fashion, resulting in a rough and faceted surface. By properly balancing the chemical and mechanical removal, the mechanical action serves to smooth out any faceting and a smooth
73 MATERIAL DISSOLUTION
237
I
61
c 4t 5
0
0
€
I
Pressure
-
14 kPa
'89
0 32 kPa I 4 5 6
0
2
1
0
3 K,Fe(CN), Concentration (wto/.)
Copper polish rate vs. K,Fe(CN), concenhation in 1 vol% (Four cm2 samples polished on a metallographer's wheel with 5 wt% alumina abrasive and 130 Wsec.) 400
J
'
-300 0.001 Figure7.19
I
I
I
0.01
0.1
1
10
K,Fe(CN), Concentration (wt%) Static potential of copper wire in 1 vol% NH,OH plus Kpe(CN),. Each data point nqresents one sample and the error bars represent the range of data collected across the sample.
surface is obtained. Note,however, that the surface roughness may occur during the post-polish rinse before all of the slurry is removed from the copper surface and before the Cu,Fe(CN),
COPPER CMP 24 20 16
12 8 4
0
K,Fe(CN), Concentration (wt%) Figure 7.20
Average surface roughness for copper polished in W O H plus ue(CN), (Four cm2samples polished on a metallographer's wheel with 5 wt% alumina abmive.)
Nitric Acid
The use of nitric acid to increase the polish rate deviates from the methodology used in the previous slurries of combining a complexing agent with an oxidizing agent. While nitric acid contains the oxidizer NO;, it does not contain a complexing agent. Instead, high copper solubility is a result of the fact that C U is~the stable copper species. The mixed potential of copper in 1 vol% HNO,, for example, is 100 mV,, and the pH of the solution is 1.0. From Figure 4.31, at pH = 1 and E,, = 100 m V,,, the copper stability is Cu2+.High copper solubility in nitric acid is indicated by the solubility of the Cu(NO,),. salt, which is 138 kg/L at room temperat~re.'~)The combination of high copper solubility in an oxidizing medium again leads to an increase in polish rate as seen in Figure 7.21. 7.3.4 Chemical Aspect of the Copper CMP Model
The shape of the polish rate vs. concentration curves in Figures 7.18 and 7.21 indicate that at low etchant concentrations, the polish rate is approximately linearly dependent on the etchant
73 MATERIAL DISSOLUTION 2.5 I
239 1
++ + +
$ 6 0
0
5
10
15
20
Nitric Acid Conc. (~01%)
F m e 7.21
Copper polish rate vs. nitric acid concentration. (Four cm2 samples polished on a metallographer’s wheel with 5 wt% alumioa abrasive, 14 Wa, and 130 cdsec.)
concentration. However,at higher concentrations, the polish rate becomes independent of etchant concentration. Alternatively, in Figure 7.18, changing the applied pressure does not affect the polish rate at low etchant concentrations, but does increase the polish rate at high etchant concentrations. The behavior of the polish rate with etchant concentration and applied pressure suggests that two regimes of polishing exist. Increasing the etchant concentration increases the dissolution rate of copper and copper oxides. Section 4.6.4 demonstrates a direct relationship between dissolution rate and polish rate. Alternatively, increasing the pressure increases the abrasion rate of the surface. Thus, we conclude that at the low etchant concentrations, where the polish rate varies with etchant concentration, the polish rate is limited by the dissolution rate of the abraded material. At the high etchant concentrations, where polish rate varies with pressure, the polish rate is limited by the rate at which the surface is abraded. The two polishing regimes are therefore a dissolution rate limited regime and an abrasion rate limited regime. The existence of the two polishing regimes supports the hypothesis that removal of copper during CMP in these slurries is controlled by the two-step process discussed in Section 4.6:
COPPERCMP
240
1.
Mechanical abrasion of the copper surface followed by 2. Chemical dissolution of the abraded material. At high concentrations, the polish rate is limited by step 1, and is therefore independent of etchant concentration. The concentration of etchants is high enough that the slurry fully dissolves all of the material abraded from the surface. Consequently, at high etchant concentration, increasing the concentration does not increase the polish rate. However, in this abrasion rate limited region, increasing the abrasion rate by increasing the pressure does increase the polish rate. At low etchant concentrations, the polish rate is limited by step 2. In this dissolution rate limited region, the abrasion rate is higher than the dissolution rate. The abraded material that is not dissolved quickly redeposits onto the surface, lowering the net rate of removal. Therefore, the polish rate is approximately equal to the dissolution rate. In the dissolution rate limited region, the slurry cannot dissolve more material, and therefore increasing the mechanical abrasion rate, by increasing the pressure, has no effect on the polish rate. However, increasing the etchant concentration increases the dissolution rate of abraded material and thus increases the polish rate. The model contends that material is removed from the surface primarily by mechanical abrasion. The abraded material is either dissolved into the slurry, swept away from near the surface as undissolved copper or copper oxides by the fluid motion of the slurry, or redeposited onto the surface. The polish rate is then the abrasion rate minus the redeposition rate. Material is removed from the surface by chemical etching secondarily only, if at all. While the model accurately explains the copper CMP behavior in Figures 7.18 and 7.20, there remain unanswered questions: 1. If the passivating layer is removed to expose the underlying copper, what prevents the etchant from etching the surface? 2. Why does the etchant dissolve the abraded material but not the surface? Resolution of these questions strengthens the model.
73 MATERIAL DISSOLUTION
241
To answer question 1, we examine the etch rate of copper during polishing. The etch rate plotted in Figure 7.16 is measured in a large volume of nitric acid and is therefore not representative of the etch rate during polishing. Alternatively, the etch rate during polishing may be measured by polishing with the nitric acid slurry without the abrasive. Because no surface film forms on the copper in the 1 ~ 0 1 %HNO, solution (Section 7.2.1) the surface should be free to etch in the no-abrasive slurry just as it would if the slurry did contain abrasive. In fact, however, the removal rate without abrasive is low, c 10 d m i n for concentrations up to 5 ~0196.The etch rate of copper during polishing in HNO,, with or without abrasive, is low because the volume of slurry on the pad is too small to support etching of the relatively large surface area. The etch rate shown in Figure 7.16 is in a bath of 500 ml where the volume to surface area ratio is much greater than on the pad. The lack of chemical etching of the surface during polishing may also be surmised by the fact that the polish rate of copper in Figures 7.18 and 7.21 does not vary with etchant concentration at high concentrations. For example, if the 5 vol% HNO, slurry did etch the copper surface during polishing, than a 10 ~ 0 1 %HNO, should etch the surface at twice the rate. Thus, if even a small component of the polish rate is due to surface etching, than the polish rate should increase proportionately between 5 ~ 0 1 %and 10 v018 HNO,. Because the polish rate is flat at high concentrations in Figure 7.21, we expect there to be no surface etching of copper polished in HNO,. In the case of copper polishing in the NH.,OH plus ferricyanide slurry (Figure 7.18), there is a slight increase in polish rate at high concentrations, suggesting a small degree of surface etching. Unfortunately, the etch rate of the copper surface during polishing in the NH40H plus ferricyanide slurries cannot be measured directly. In the absence of mechanical abrasion, copper is passivated from etching in the NH40H plus ferricyanide slurries by the Cu,Fe(CN), surface film (Section 7.2.1), and consequently etches less than 2 d m i n . Any etch rate of copper during polishing in the -OH plus ferricyanide slurries may only be inferred indirectly by the slope of the polish rate in the abrasion-limited region.
242
COPPERCMP
The mechanically abraded material dissolves more readily than the atoms at the surface because mechanically dislodged fragments, that could be as small as individual atoms or molecules, will expose a large surface area to volume ratio and a large radius of curvature to the slurry. On the other hand, the metal surface will have significantly lower surface area to volume ratio and a large radius of curvature exposed to the slurry. From surface science, it is known that a small radius of curvature and a large surface area to volume! ratio results in a highly reactive surface that is more likely to dissolve into the slurry. Thus, the abraded material dissolves more readily than the surface by virtue of its size. In addition, the finer (in size) the abraded material is, the higher the likelihood of complete dissolution. This surface area dependence of dissolution is a common feature of the dissolution of most materials. The polish rate behavior of -0 in the NH40H plus ferricyanide slurry, Figure 7.22, also suggests that copper is not etched directly from the surface during CMP. (The CkO is grown at 250°C in an oxygen ambient fiom a 2 pm copper film. Growth consumes only a portion of the copper, leaving a QO film on top of a copper film. X-ray diffraction indicates that only C k O is present, no CuO forms.) The Kaufmad2)model suggests that for metal polishing, removal of the metal is the result of abrasion of the passivating film, followed by etching of the metal surface and reformation of the passivating film. From this model it follows that the observed acceleration of the copper polish rate with etchant concentration in Figures 7.18 and 7.22 should be the result of an increase in the etch rate when the copper surface is exposed. According to this model, the polish rate of the CkO film should not be accelerated by the presence of ferricyanide because the underlying copper is never exposed and QO does not etch in the NH40H plus ferricyanide solution. During the polishing of the thermally grown C k O the underlying copper is exposed only at the completion of the polishing. Therefore, the increase in polish rate of Cu,O with femcyanide addition to the NI&OH slurry must be a result of an increase in the dissolution rate of the abraded ChO, which dissolves because of the increase in surface area. As the ferricyan-
73 MATERIAL DISSOLUTION
243 -
0
0
0
W
W
0 W
Pressure W 14 kPa I
I
0 32 kPa I
Ferricyanide (wt%)
F w r e 7.22
C%O polish rate vs. we(CN), concentration in 1 vol% NH,,OH. (Four cm2 samples polished on a metallographer's wheel with 5 wt% alumina abrasive and 130 cm/sec.)
ide concentration is increased, more of the abraded material is dissolved, leading to a decrease in redeposition of abraded material and an increase in the polish rate. Note that the same two polishing regimes are observed in C%O polishing (Figure 7.22) as in copper polishing (Figures 7.18 and 7.21). Finally, we shall speculate on the difference in copper CMP reported here and tungsten CMP reported by Kaufman et al.(*) The copper surface is less likely to dissolve during polishing because copper is a more noble metal than tungsten.'4) Consequently, the driving force for copper dissolution is less than the driving force for tungsten dissolution. In the absence of passivating films,the tungsten surface will dissolve more readily than the copper surface. In addition, tungsten is a harder material than copper and therefore will abrade less. The hardness, measured by microindentation at a depth of 100 nm, is approximately 1 GPa'') for copper and is approximately 20 GPa for tungsten.('o) Therefore, the copper abrasion rate will be higher than the tungsten abrasion rate. Consequently, in copper CMP,abrasion is the dominant mechanism for removal from the surface and in tungsten CMP, dissolution is the dominant mechanism of removal from the surface.
244
COPPERCMP
These results demonstrate that the polishing of copper occurs as a two step process of (1) mechanical abrasion of the surface and (2) chemical dissolution of the resulting abraded material. Because copper is a soft metal and a noble metal, the abrasion rate is high and the dissolution rate of the surface is low. The dissolution rate of the abraded material is greater than that of surface material because of the increase in surface aredslurry volume ratio that occurs upon abrasion. For the slurry chemical combinations examined here, two distinct regions of removal are suggested to exist; a dissolution rate limited region at low etchant concentrations, and an abrasion rate limited region at high etchant concentrations. 7.4
PRESTON EQUATION
In Section 4.1, the Preston model for polishing was presented. The following sections compare the polish behavior of copper and titanium to the Preston equation. While the Preston equation in most instances explains the copper and titanium polishing data, some important deviations exist. Discussion of these deviations, will yield a better understanding of the roles of the mechanical and chemical forces in CMP. 7.4.1
Preston Coefficient
Preston coefficients may be readily measured for a polish process. The simplest method for measuring Kp is to measure the polish rate and divide by the pressure and velocity. However, this method is sensitive to error in the polish rate measurement. A better measure of Kp is obtained by measuring the polish rate over a range of velocities and pressures. If the polish rate behavior follows Preston’s equation, i.e., if it is linear and intersects the origin, Kp may then be obtained by differentiating Equation (4.1) wit$ respect to either pressure or velocity. Kpis then given by: 1dR
--
= VdP
or
(7.12)
7.4 PRESTON EQUATION
1 dR
Kp =
Pm,
245
(7.13)
Thus, the slope of a plot of the polish rate vs. either pressure or velocity gives Kp.In the next section, polish rate curves for copper are given. From these curves, the Kp values calculated are compared to the theoretical Kpvalues predicted by Equation (4.2). 7.4.2
Polish Rates
Figures 7.23 and 7.24 show the polish rate of copper vs. pressure and velocity, respectively, measured on 125 mm wafers using a Strasbaugh 6CU polisher, a Subam IV pad, and a slurry of 2 VOWNH40H flowing at 250 ml/rnin. As predicted by the Preston equation, the polish rate varies linearly with pressure and velocity, and curves fitted to the data intersect the origin. When the Subam IV pad is replaced by a Subam 500 pad, Figure 7.25, or a Subam 550 pad, Figure 7.26, the polish rate behavior deviates slightly from the Preston equation. In both Figure 7.25 and 7.26, at higher pad velocities the polish rate becomes sublinear and appears to level off. The Subam 500 and Subam 550 pads are harder and less porous than the Subam IV pads. Table 7.2(") compares the hardness of the three pads. With fewer pores available to hold the slurry, the Subam 500 and Subam 550 pads are not able to transport slurry under the wafer as effectively as the SubaTMIV pad. Because of the relatively poor slurry transport, the Subam 500 and Subam 550 pads are not able to remove the abraded material fast enough to sustain the high polish rates that the Preston equation predicts at the high velocities. The polish rate becomes limited by the removal of the abraded material from the vicinity of the surface. It is expected that the polish rate will also saturate on the Subam IV pads at velocities higher than measured in Figure 7.24. To maintain consistent removal rates, pad conditioning was employed in these experiments. Removal of the used slurry was performed using a razor blade held against the pad with light force and drawn from the center to the edge of the pad while a fresh so-
COPPERCMP
246
0
5
10
15
20
25
Pressure (kPa)
Copper polish rate vs. pressure on the Smbaugh tool with Subam Tv pad using 125 m m wafers, a slurry of 2.5 wt% A&03 and 2.0 vol%NH,OH, a slurry flow rate of 250 ml/min, and a velocity of 130 cm/sec.
Figure 7.23
1000 h
.-c
{ C v
o, 4-
800 600
(d
$ .a" 3
400
(I)
200
0
0
5
10
15
20
25
Velocity (cdsec)
Figure 7.24
Copper polish rate vs. pad velocity on the Strasbaugh tool with Subam Iv pad using 125 m m wafers, a slurry of 2.5 wt% Al,O, and 2.0 volZ -OH, a sluny flow rate of 250 ml/min, and pressure of 15 kPa.
lution identical to the slurry in chemical composition but lacking
the abrasiveflowed across the pad. Next, because surface roughness appears to be an important pad property,(") a conditioning block with 200 mesh diamond impregnated in resin was pressed against
247
7.4 PRESTON EQUATION 1000
h
c .-
800
E S
-
Y
600
a, CTI
LT
r v) .-
400
a
200
0 3
0
n
"0
50
100
150
200
250
Velocity (cm/sec)
Copper polish rate vs. pad velocity on the Strasbaughtool with Subam 500 pad using 125 m m wafers, a slurry of 2.5 wt% A1203 and 2.0 ~01%NH,OH, a slurry flow rate of 250 ml/min, and pressure of 15 kPa.
Figure 7.25
1000
z .-
600 400
v)
B
200
3
0 Velocity (crnkec)
Figure 7.26
Copper polish rate vs. pad velocity on the Slrasbaugh tool with Subam 550 pad using 125 mm wafers, a slurry of 2.5 wt% A1203 and 2.0 vol%"€€,OH, a sluny flow rate of 250 ml/min, and pressure of 15 Wa.
Table 7.2
Hardness of subam pads'"'
Suba"" I V SubaTM500 SubaTM550
Hardness (Shore A)
compres-iw
52-62
16
75
7 6
77
(%)
248
COPPERCMP
the pad to set the pad roughness. Finally, the razor blade was again used to lift the nap of the pad before polishing began. A saturation in the polish rate on the Subam IV pad is seen when the pad is improperly conditioned, Figure 7.27.The Subam IV pad used for Figure 7.27 was conditioned similarly to the conditioning sequence used for Figure 7.24(Section 3.2); however, greater force was used on the razor blade. The harsh razor blade conditioning damaged the Subam IV,pad resulting in poor slurry transport. The poor slurry transport results in the diminished capacity of the slurry to remove abraded material from the vicinity of the surface, and hence higher redeposition rates and lower polish rates are observed. Poor slurry transport is also demonstrated by the nonuniformity observed on the poorly conditioned pad. Figure 7.28 compares the across wafer nonunifodty in the polish rates measured in Figures 7.24 and 7.27. The high nonuniformity observed on the poorly conditioned pad is the result of a low polish rate in the center of the wafers. The pad is not able to transport the slurry to the center of the wafer, resulting in a low polish rate in the center. Alternatively, the properly conditioned pad yields much more uniform polish rates, indicating good slurry transport. Note that Figures 7.25, 7.26, and 7.27 indicate both dissolution and abrasion rate limited regions. At low velocities the polish rate varies linearly with the abrasion rate (i.e., linearly with velocity), suggesting an abrasion rate limited region. At high velocities the polish rate saturates and becomes independent of velocity, suggesting a dissolution rate limited region. Presumably, the plots of Figures 7.23 and 7.24 would show saturation behavior at higher pressures or velocities. 7.4.3
Comparison of Kp Values
Comparison of the experimentally determined Kp values to theoretical values yields insight into the role of abrasion in the removal process. Table 7.3 lists the Kpvalues obtained by inserting the slopes of the plots in Figures 7.23 to 7.27 into Equations (7.12) and (7.13).For reference, the theoretical Kp value is obtained from Equation (4.2).With a modulus of E = 1.3~10"Pa, copper should
249
7.4 PRESTON EQUATION
c Q) +
a
600 -
c
400 -
z
200 -
[r
v) .-
U
+ + 0
0
$ 50
0
200
150
100
250
Velocity (cmkec)
Figure 7.27
Copper polish rate vs. pad velocity on the Strashugh tool with a poorly conditioned Subam IV pad using 125 m m wafen, a slurry of 2.5 wt% 40,and 2.0 v o l I NH,OH, a slurry flow rate of 250 ml/min, and pressure of 15 Wa.
'c
c
r' 0 z
0
15-
Q,
5 10
0
-
oc
0
0
0
I
I
I
I
50
100
150
200
250
Velocity (cdsec)
Figure 7.28
Across wafer nonunifdty in copper polish rate vs. velocity for properly conditioned and poorly conditioned Subam IV
Pd
250
COPPERCMP
Table 7 3
SUW" 1v
subam Iv Subam 500 Subam 550
ExperimentalKpValues Curve
Kp m')
Velocity
4.YxlU"
PreSfllre
Velocity Velocity
5.1~10'~ 4.0~10'~ 5.0~10'~ 5.0~10'~
Notes 14 kPa
12 kPa
exhibit a Kp = 3.9~10''~.The experimentally obtained Kp values are lower than the theoretical values in all cases. COO^^'^) suggests that for glass polishing (Section 5.1) redeposition of the abraded material is responsible for the low Kp values. Note, it is also possible that the polishing action work hardens the copper, which would increase E and partially account for the lower observed Kp value. For the properly conditioned SubaTMIV pad, the Kp values are all very consistent. For example, the Kpvalues obtained from the velocity plots are within 4% of each other. Thus, changing pads or pressure does not appear to affect Kp within the range of velocities used to calculate Kp,i.e., the range where the plots are linear. Note, however, that at the higher velocities, the plots are nonlinear and Preston's equation does not apply. A 20% difference in Kp is observed between the values from the polish rate vs. velocity plots and the polish rate vs. pressure plot obtained on the SubaTMJY.The difference in Kp values obtained from the polish rate vs. pressure and velocity plots is likely to be a result of difficulties in calculating the true pressure on the wafer during polishing. Assuming that Kpobtained from the polish rate vs. velocity plot is accurate, the different values suggest that the pressure calculations are approximately 20% underestimated. Pressure is calculated by assuming that the down force is evenly distributed across the wafer and template assembly. Because the wafer protrudes approximately one-third of the wafer thickness out from the template, the pad will compress more under the wafer than under the template and there will be more pressure exerted on the wafer than the template. Not accounting for the fact that the wafer protrudes from the template pocket is the likely source of the error in pressure calculation.
7.5 POLISH INDUCED STRESS
251
The Kpvalues obtained on the Strasbaugh are approximately a factor of 10 lower than the theoretical Kp value. This fact suggests that the efficiency of the mechanical abrasion is only 10%. Only 10% of the material within the indentation volume is actually removed from the surface; the remaining 90% either redeposits or undergoes plastic flow on the surface. From the above discussions one concludes that the Preston equation may be applied to plots of polish rate vs. pressure or velocity and the resulting Kp value compared to the theoretical value. The Preston equation predicts the abrasion rate of the surface. In all cases examined, the observed Kp is lower than theory predicts because the efficiency of mechanical abrasion is lowered by incomplete removal of the abraded material from the vicinity of the surface. The unremoved abraded material redeposits onto the surface, lowering the net polish rate. The polish rate behavior deviates from the Preston equation at high velocities and pressure or if the pad is poorly conditioned. The apparent saturation in the polish rate observed at high velocities and pressures indicates that the polish rate enters a dissolution rate limited regime. In this regime, increasing the abrasion rate does not affect the polish rate because the slurry cannot dissolve any additional abraded material and the additional material simply redeposits. Thus, Preston's equation is only valid in an abrasion rate limited regime. 7.5
POLISH-INDUCED STRESS
As discussed in Section 4.4.1, one indication of the mode of abrasion is the stress in the polished film.The development of a compressive stress in the copper film suggests a ductile grinding abrasion mode. Figure 7.29 shows the change in stress in copper f i i s polished in slurries of 1 vol% NH,OH with (1) colloidally dispersed alumina, (2) 0.3 pm alumina, and (3) 3.0 pm alumina using a Subam IV pad. The benefit to the colloidally suspended alumina is that the particles do not agglomerate, and therefore maintain a smaller size distribution.(13) However, the colloidal suspension may be affected by the introduction of polish by-products
252
COPPERCMP
-100
0
-2001
0 0
0
-300 -400
'
8 8
I
I
I
Colloidal
0.3pm
3.0pm
Abrasive Size
Figure 7.2!J
Compressive stress (increasing in the negative direction) in polished coppea films induced in the copper film due to ductile grinding during CMP. Compressive stress, and hence the role of ductile grinding, increases with abrasive size.
into the slurry. Conversely, the nonsuspended alumina agglomerate resulted in average particle sizes even greater than the average aggregate size of 0.3 pm or 3.0 pm.Figure 7.30 shows the particle size distribution (agglomerated) in each slurry. In all three of the slurries, the film stress moves in the compressive direction, suggesting that the abrasion mode is at least in part ductile grinding. Because the distribution of abrasive particle size in each of the slurries is wide, it is likely that abrasion consists of both ductile grinding, due to the particles on the high end of the distribution, and polishing, due to the particles on the low end of the distribution. In addition, the compressive stress increases with particle size suggesting that the degree of ductile grinding increases with particle size. A second indication of the degree of ductile grinding is the level of scratching observed in each slurry. For the 3.0 p alumina, scratching is heavy. Scratches are the result of material flow at the ~urface''~)during ductile grinding."n For copper polished in the 0.3 pm slurry, scratching is moderate and may be detected without a microscope. For copper polished in the colloidal alumina, the level of scratching is low and no scratches may be detected without a microscope. These measurements indicate that the role of ductile grinding increases with abrasive size.
7.5 POLISH INDUCED STRESS
253
Number mean = 94.3nm
2;o
300 Go 4k 4 j o ! 0
Dp (nm)
cabotcorporal n
(4
Particle Size
(b)
Particle Size
(c)
Figure 7.30
Particle size disrribzuims in slurries of (a) colloidal alumina,(14) (b) 0.3 pn alumina, and (c) 3.0 pro alumina abrasives. Note that the pmicles measured are agglomerations of the specified particle size.
254
COPPERCMP
Table 7.4
Polish Rate vs. Abrasive Size in 1 vol% W O H Slurry
There are two main consequences of operating in a ductile grinding vs. polishing mode. First,the size of the abraded material is greater, on the order of nm compared to A for polishing. Greater abrasion rates are observed with the larger sized particles, as indicated in Table 7.4. However, the larger size abraded material is also more difficult to dissolve and leads to redeposition of copper oxide particles as discussed in Section 7.3.Redeposition of copper oxide particles may be eliminated by adding oxidizing agents to the slurry to increase the dissolution rate of the abraded material. A second consequence of abrading in the ductile grinding mode is that the surface is damaged. While the scratches caused by grinding do not appear to be deep enough to affect conduction,('6) they may act as a nucleation site for electromigration, thus reducing the reliability of the interconnection. Scratching is also a function of pad type. A napped cloth, for example, yields low scratching levels even with large abrasives. Thus, the copper film stress moves toward the compressive direction as a result of CMP with alumina abrasive. The compressive stress suggests some degree of ductile grinding which increases with abrasive size. Ductile grinding yields greater removal rates but also larger sized abraded material and more damage in the copper By properly balancing the chemical and mechanical components with the colloidal suspension, it may be possible to achieve reasonably high polish rates with low damage. 7.6
PATTERN GEOMETRY EFFECTS
During the CMP of patterned copper samples, two phenomena, copper dishing and E D (SiOJ erosion, led to deviations from
7.6 PATTERN GEOMETRY EFFECTS
255
the ideal case depicted in Figure 7.7a. Copper dishing and SiO, erosion occur during the overpolish step (which is required to ensure complete copper removal across the entire wafer) and are defied schematically in Figure 7.31. Copper dishing is defined as the difference in height between the center of the copper line, which is the lowest point of the dish, and the point where the SiO, levels off, which is the highest point of the SiO,. Copper dishing occurs because the polishing pad bends slightly into the recess to remove copper from within the recess. SiO, erosion is a thinning of the SiO, layer, resulting because the polish rate of SiO, is nonzero during the overpolish step. SiO, erosion is defined as the difference in the SiO, thickness before and after the polish step. The percent of the copper line remaining is the change in copper line thickness (which is equal to dishing plus erosion) divided by the original line thickness. Both copper dishing and SiO, erosion are undesirable because (1) they reduce the final thickness of the copper line and (2) copper dishing leads to non-planarity of the surface, resulting in complications when adding multiple levels of metal. This section exstmines the dependence of copper dishing and SiO, erosion on the dimensions of the copper line and the density of copper patterns.
7.6.1 Dishing and Erosion in CdSiO, System Figure 7.32, 7.33, and 7.34 show copper dishing, SiO, erosion, and percent of the copper line remaining at end point on a wafer polished in a slurry of alumina abrasive plus 1 vol% NH,OH on a Strasbaugh 6CU polisher with tool settings of v = 130 cd s e c , P = 15 kPa, slurry flow = 250 ml/min, and a Subam 500 pad. Dishing appears to be a strong function of linewidth, but a weak function of pattern density, while erosion varies more with pattern density than linewidth. The thickness of the line remaining after polish is the original line thickness (1 pn)minus dishing and erosion. Consequently, the percent of the line remaining depends both on linewidth and pattern density. Figure 7.35 shows that the effect of 5 % overpolish is to increase dishing. Intuitively, continuing to polish after the SiO, is exposed will also increase erosion. Consequently, increasing over-
COPPERCMP
256
h
E
--
0
SiO,
--
I
I
cu
SiO,
-
-
v
E -100 .-ul
-
I -200 -
-
I 3
-
(D
-300 -
(D
u-
-
-400
I 100
I
0
50
I 200
I
150
-
(elm)
(b) Figure 7.31
h
E
c v
(a) Schematic representation of copper dishing and SiO, erosion. (b) Pmfilometer trace of a 100 p line exhibiting 305 nm of dishing.
600 -
500 400
.'
v-v-v
-
300 -
v
'
Line width A 2pm
0-0
i:tFrn
200 -
0
80
Figure732
20 prn
100
Pattern Density (%) Copper dishing vs. linewidth for several pattern densities. Dishing is a strong function of linewidth, but only weakly dependent upon pattern density.
7.6 PATTERN GEOMETRY EFFECTS
257
h
E C
0 5pm
C
A 50pm
Line width
v
zopm
0 .-u) 2 w
v
1oopn
100
u
h
8
v
W c ..-C
a
0
60 40
C
e
100
80 -
Q,
5 .-
80
100 -
'
20
0
-A
Q)
a
60
40
20
--
Linewm ezpm
-
~
-
0 50 gm
0
loam 2
0
~
A 100gm
Pattern Density (YO) Figure 7.34
The percent of the originally 1 pm thick line remaining after polishing vs. linewidth for several paaern densities. Percent remaining depends both on dishing and erosion, and so is a function of both linewidth and pattern density.
polish time results in less of the copper conductor remaining at the end of the polish step. The required overpolish step may be shortened by decreasing the nonuniformity in the polish step so that less overpolish is required to ensure complete removal of the copper across the entire wafer. The overpolish step may also be shortened by either decreasing the thickness of the titanium layer,
258
COPPERCMP
E
c v w .-c f
.-
600 Nominal endopoint 0 5% overpolish
0 0
400
!?0
300
2 a
100
0 0 0
‘0
25
50
75 100 125 150 175 200
Line Width (pm)
Figure 7.35
The effect of performing 5% overpolish on copper dishing. Dishing is increased by overpolisling.
which polishes slower than the copper and therefore requires extra time to remove, or by removing the titanium subsequent to the polish step with a dip of 1OO:l HF instead of during the polish. The observed pattern dependence of copper dishing and SiO, erosion arises because during the overpolish step, the presence of a pattern causes a pressure gradient on the surface near the pattern. Three observations are needed to understand the origin of the pressure gradient: 1) Polishing of copper (Section 7.4) and SiOi3)follow Preston’s equation, 2) Polish rate of copper inside a recess (-300 ndrnin estimated from the change in dishing with 5 % overpolish) is lower than the polish rate of copper in field regions before end point (600 d m i n ) . 3) Polish rate of SiO, increases with increasing copper pattern density. The polish rate of copper inside the recess is lower because the pad cannot exert the same pressure on the recessed metal surface as on a flat surface. The pad deflects and reaches into the recess to contact the recessed metal. The pressure on the recessed metal is lower than if the pad did not need to bend (i.e., on a flat surface) to make contact. Over a large area, however, the force (or load)
7.6 PATTERN GEOMETRY EFFECTS
259
must remain constant. The size of this area will depend upon the dimensions of the pattern and characteristics of the pad such as hardness and compressibility. However, we expect the area to be roughly 10-100 times greater than the pattern dimensions. Consequently, if the pressure on the recessed copper decreases, the pressure on the adjacent SiO, must correspondingly increase. In the extreme case, when there is no copper in the recess, the pressure on the SiO, is given by: (7.14)
As the copper pattern density increases, there is less SiO, to support the force. An increase in copper pattern density therefore translates to an increase in pressure on the adjacent SiO, and therefore an increase in SiO, polish (erosion) rate. Thus, during the overpolish step the pressure exerted on the recessed copper decreases, which decreases the copper polish rate, and the pressure exerted on the SiO, adjacent to a copper pattern increases, which increases the SiO, erosion rate. The fact that dishing depends heavily upon linewidth (Figure 7.32) can be explained by examining the mechanisms of polishing. Planarization occurs during polishing because the polish pad only contacts the wafer surface in the high areas. In low areas the metal surface is protected from chemical etching by the formation of a surface film, which in the case of the 1 VOW NH,OH slurry is CbO (Section 7.2.1). If the polish pad is completely rigid, it will not reach into the recess to contact the low areas. Thus, the low areas are not abraded and planarization occurs as long as the surface film is effective at preventing chemical removal. Dishing occurs because the SubaTM 500 pad is not completely rigid and conforms somewhat to the wafer surface. For metal polishing, we expect that a slightly soft pad is desirable to minimize scratching and obtain good across wafer polish rate uniformity. During the overpolish step, however, the softer pad will bend into the recess slightly and continue to exert pressure on the recessed copper. In addition, because the pad is not completely
260
COPPWCMP
smooth, the pad reaches into the recess slightly even without
bending.
To understand the role of pad characteristics and linewidth on dishing we hypothesize as to how the pad distributes the applied load. The load may either be transferred directly through the pad, exerting pressure on the copper below, or the load may be applied to bending (deflecting) the pad. When the surface is completely planar, the pad does not bend and a maximum amount of the applied load will be transferred to the copper. Thus, the pressure exerted by the pad on the copper, and hence the copper polish rate will be a maximum. However, because the recessed metal polish rate is greater than the polish rate of the adjacent SiO, dishing will occur. Because force is required to bend the pad, as dishing increases and the deflection of the pad increases, the applied load is transferred from exerting pressure on the copper to the force required to bend the pad. Note that the force required to bend the pad is ultimately balanced by increased pressure exerted on the SO,. Sivaram et al. suggest that the deflection of the pad may be explained using a bending beam model.(17) The maximum deflection of the pad (measured in the center of the beam) is given by:(") y = - -wL4 -
384El
5wL3
(7.15)
32Et3
where w is the applied load per unit length of the recess, L is the width of the recess, E is the modulus of elasticity of the pad, I is the moment of inertia of the cross-sectional area of the pad which is equal to the eL/12,(l3 t is the pad thickness, and x is the distance from the edge of the recess. Equation (7.15) gives the maximum possible dishing given a long overpolish. Although this analysis is somewhat oversimplified (for example, the compressibility and the roughness of the pad are not taken into account), it does give an idea of important parameters that affect dishing. In particular, Equation (7.15) suggests that the amount of dishing, equal to the deflection, increases with linewidth as expected. In addition, dishing will decrease as the load is decreased and the modulus of elasticity of the pad is increased.
7.6
PATTERN GEOMETRY EF’FECTS
261
By modifying the parameters in Equation (7.15), the effect of both pad compressibility and roughness may be taken into account. The pad is compressed as it pushes against the wafer; as it rides over a recess, some of the compression is relieved. Consequently, the entire thickness of the pad does not deform. A near surface effective thickness f c t, the pad thickness, should therefore be used to account for pad compression. Indeed, if values of L = 10 pm, w = PL = 150 k P a p and E = 40 GPa(”) are used with the pad thickness of t = 1.25 mm (specified by the manufacturer), a value of y = 10” pm is obtained for the deflection of the pad. However, if due to pad compression, only a near surface layer of thickness f = 1.8 pm is assumed to bend, then the deflection of the pad is equal to 100 nm, which is more in line with the dishing seen in Figure 7.32. To explain the effect of pad roughness on planarity during SiO, polishing, Renteln and C~niff.(’~) use the concept of a thin surface layer with modified elastic modulus E’ (Section 4.5). In the present discussion, a modified E’ can also be used to explain the effect of pad roughness on dishing. Figure 7.31b indicates the presence of a sharp drop or a step in the SiO, at the edge of the recess. This behavior is not indicative of pad bending and cannot be explained by the bending beam model. Instead, it is likely that the pad roughness allows the pad to reach a certain distance, 50 nm in the case of Figure 7.31, into the recess to remove material uniformly along the width of the recess. Thus pad roughness may be modeled by using the modified E‘ in Equation (7.15) and adding a component of dishing which is independent of the distance x along the width of the line. In the context of the discussion of Section 4.5.1, the 50 nm step results from the elastic component of the shear modulus, i.e., the amount of instantaneous pad decompression. The total dishing results €torn both the elastic and viscoelastic components of the pad modulus. Dishing is only slightly affected by pattern density (Figure 7.32). For pattern densities above 40% dishing decreases with increasing pattern density as the SiO, erosion rate (polish rate) increases. As the polish rate of the SiO, increases due to the increased pattern density, the difference between the polish rate of copper and SiO, decreases, resulting in less dishing. For the curves
-
262
COPPERCMP
of linewidths from 2 to 50 pm, at a pattern density of 20%, negative dishing occurs. Because negative dishing is anomalous behavior, these points are not plotted in Figure 7.32. Figure 7.33 indicates that erosion is not dependent on linewidth. Because a change in linewidth, given constant pattern density, does not decrease the pattern density of the SiO, supporting the load, a linewidth change can be expected to have little effect on the pressure exerted on the SiO,. Linewidth variations therefore have little effect on SiO, erosion. In addition to the fact that SiO, polishes faster in regions of high copper pattern densities, SiOa erosion is greater in areas of high copper pattern density for a second reason shown in Figure 7.36. At the beginning of the polish when copper covers the entire wafer, copper in regions of high pattern density will polish quicker than copper in regions of low pattern density. In regions of high copper pattern density, there is a lower aerial density of copper contacting the pad (copper in low areas does not contact the pad). The lower the density of copper contacting the pad, the higher the pressure on the copper that does contact the pad and consequently the higher the polish rate. The discrepancy in copper polish rate between regions of high and low pattern density continues until the surface is planarized (locally). Because of this difference in polish rates, copper is cleared in regions of high pattern density sooner than in regions of low pattern density. Figure 7.37 shows an array of lines with pattern density = 50% just as the copper (but not the titanium)is cleared in the spaces between the lines. Copper in the adjacent field regions is approximately 160 nm higher than in the array. Thus, the SiO, in regions of high pattern density receives a longer overpolish than in regions of low pattern density, adding to the increase in erosion with pattern density. Note that pattern density dependence in the metal polish rate does not occur at contact and via levels. ContacVvia fill requires conformal metal deposition which, because of the small dimensions of the contacthia, results in planar surfaces, as shown in Figure 7.38a. Here, the pressure is uniform across the surface during metal removal, and a pattern dependency to polish rate only occurs during the overpolish step. In contrast wider structures seen at the interconnection level are not planar after metal deposition
7.6 PATTERN GEOMETRY EFFECTS
263
1
-----,-
, I
(c)
Figure 7.36
(a) Regions of low and high copper pattern density. (b) Copper polishes fasm in regions of high pattern density, so the copper is cleared first there. (c) Consequently, SiO, receives more polish time in regions of high pattem density, and therefore experiences more erosion. (Figure does not show copper dishing.)
-
Field region PD = O
I
h
E
v
o
Copper line array Pattern densw = 50%
Field region PD =O
I
E .-u) -40 a
=2?
3
a
LL
-80
-
-120 -1 60
0
500
1000
1500
(Pm) Figure 7.37
Profdometry trace of an array of 20 p lines and 20 pm spaces between two field regions. The pressure exerted copper in the atray is greatea due to the higher pattern density. Consequently, copper in the array polishes quicker. Note that the decrease on the left side of the hace is due to the presence of another array to the left of the region shown.
264
COPPERCMP
(b)
Pigure7.38
Surface profile after conformal metal depsotion of (a) a contact, via, or other small feature, and @) a large featme.
(Figure 7.38b) and therefore do exhibit a pattern-dependent polish rate during the removal of the overburden. Knowing (1) the polish rates of SiO, and copper, (2) the relationship between pressure and polish rate, and (3) the difference in height generated in the copper film by the change in pattern density (Figure 7.36), we may make some calculations of the relationship between erosion and pattern density. As a result of the difference in height LVI,between the field region and the array region from Figure 7.36, the copper will clear sooner in the array than in the field by a time:
where Rate- is the polish rate of copper in the field region. During this 0.27 min, the SiO, in the array w i l l be thinned by an amount: (7.17)
where Ra%a,I-w is the polish rate of SiO, at a pattern density of 50%. The approximate difference in the SiO, polish rate between regions of different pattern densities is given by:
7.6 PATTERN GEOMETRY EFFECTS
Ratepm - lOOSb-PDI 100%-PD2 -4PDI
265
(7.18)
assuming the difference in pressure is caused by a change in pattern density. For PD = 5 0 8 , we get an SiO, polish rate = 120 Wmin for the polish rate used in Equation (7.17). Thus, between the time when the copper is cleared in the array region to the time copper is cleared in the field region, 32 nm of SiO, is removed in the array. From Figure 7.32, the total amount of erosion for PD = 50% is approximately 80 nm, suggesting that another 48 nm is removed in the array during the time the titanium is polished €%om the field region. With this information, we may attempt to estimate the amount of erosion for a pattern density of 80%. The polish rate of copper increases with pattern density according to Equation (7.18), and consequently, 4 will increase with pattern density. Initially the difference in polish rates will be 2.5 times greater for PD = 80% than for PD = 50%. However, because of the planarizing nature of the CMP,at the end of the polish, & for PD = 80 96 will not be equal to a number 2.5 times greater than Aha for PD = 50%. We expect the increase to be smaller and approximate Aha = 320 nm for a pattern density of 80%. This will lead to a At = 0.54 and:
removed before the copper is cleared from the field region. Adding to this the amount of SiO, removed after the field copper is removed, approximated by:
gives a total erosion of 242 nm. This compares well with the observed erosion of 260 nm from Figure 7.33.
266
COPPERCMP
7.63 Optimization of Process to Minimize Dishing and Erosion We desire a polish process with minimal dishing of the copper and high selectivity to the underlying dielectric. Because a very hard and non-compressible pad will bend less into the recesses, increasing the hardness of the pad will decrease dishing. However harder pads tend to polish the SiO, quicker. The polish rate of SiO, on a Subam IV pad, which is softer than the Subam 500 pad, is only 40 nm/min compared to 60 nm/min on the Subam 500 pad. Thus, a dichotomy is set up between minimal dishing and maximum selectivity. The key to resolving this conflict lies in selecting a polish slurry that gives a higher degree of chemical removal of the metal. Because NH40H does not etch copper during polishing, the process to collect these data is very mechanical in nature. In a highly mechanical polish, the selectivity in the polish rates between copper and SiO, arises because of the difference in mechanical hardness of the two films (Section 4.3). Alternatively, the chemicals in the sluny may be chosen such that the degree of chemical removal of copper is increased without increasing the degree of chemical removal of SiO,, The polish rate of copper then increases without a corresponding increase in the polish rate of SiO,, resulting in an increase in selectivity. It should be noted that the key to achieving a high degree of planarity also lies in forming a surface film on the copper in the low regions. Increasing the chemical removal rate of copper from the polished surface may also increase the removal rate of the surface film.Thus, a careful evaluation of the slurries is essential. By choosing a combination of a hard pad and a slurry that delivers a higher degree of chemical removal (in the high areas only), we speculate that a process with a low degree of copper dishing and low amount of SiO, erosion may be achieved. In fact, high selectivity can compensate for the poor uniformity that may be brought on by the hard pad because high selectivity allows for a longer overpolish. There are other complications involved with using a hard pad, such as scratching of the copper. However, if
7.6 PATTERN GEOMETRY EFFECTS
267
these issues can be resolved, the combination of a hard pad and high selectivity would yield a very robust process.
7.63 Summary Pattern density and linewidth dependence of the dishing in copper interconnections and the erosion of the surrounding SiO, surface is investigated. It is found that copper dishing is highly dependent upon copper linewidth and that SiO, erosion is highly dependent upon pattern density. Copper dishing and oxide erosion affect the final conductor thickness and the planarity of the wafer surface. Therefore, if such dishing and erosion cannot be avoided, design rules will be required in the layout of interconnection levels to limit the size of metal structures and the density of metal patterns.
REFERENCES 1. 2. 3. 4.
W.R. LaFontaine, B. Yost, and C. Li, J. Mater. Res. 5,776 (1990). F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie. D.J.Pearsons, and M.B. Small, J. Electrochem. SOC.138,3460 (1991). L.M. Cook, J. Non-cryst. Solids, 120,152 (1990). M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, NACE, Houston, TX (1975).
5.
H.H. Uhlig, Corrosion and Corrosion Control, John Wiley & Sons Inc., New York (1985).
6.
C.E. Mortimer, Chemistry, Wadsworth Pub. Co., Belmont, CA, (1983), pp 371-427. H.E. Johnson and J. Leja, J. Electrochem. SOC.112, 638 (1965). R.M Smith and A.E. Martell, Critical Stability Constants Vol. 4: Inorganic Complexes, Plenum Press, New York (1976). CRC Handbook of Chemistry and Physics, CRC Press Inc., Boca Raton, FL.
7. 8.
9.
268
COPPER CMP
10.
M.F. Doemer, D.S. Gardna, W.D.Nix, J. Mater. Res. 1, 845 (1986). Rodel, 451 Bellevue Road, Newark, DE 19713. P. Renteln and J. Coniff, Mat. Res. SOC.Symp. Roc. 337, 105 (1994). R. Jairath, J. Farkas, C.K.Huang, M. Stell, S. Tzeng, Solid State Technol., 37, 71 (1994). Rippey Corporation, El D o d o Hills, CA, Product Literature. D. Golini and S.D.Jacobs, Appl. Opt. 30, 2761 (1991). N.Misawa, T. Ohba. and H. Yagi, MRS Bulletin, XIX, (€9, 63 (1994). S. Sivaram, H.Bath, E. Lee, R. Leggett, and R. Tolles, in Advanced Metallization for ULSI Applications in 1991,eds. V. Rana, R. Joshi, and I. Ohdomari, MRS, Pittsburgh, (1992), p. 511. E.P. Popov, Mechanics of Materials, Prentice-Hall, Englewood Cliffs, NJ, (1976), p. 365. S.H.KO,private communication.
11. 12. 13.
14.
15. 16. 17.
18. 19.
CHAPTER 8
CMP OF OTHER MATERIALS AND NEW CMP APPLICATIONS
In previous chapters, especially Chapters 5 to 7, we had focused on CMP of SiO,, W, and Cu. Most of the published CMP work has been done on these materials, and especially for their use/application in very-large-scale integration (VLSI) or ultra-largescale integration (ULSI) of devices and circuits on Si. Prior to such applications most of the work was carried out on a variety of glass substrates. As CMP is becoming more popular, mainly because of its simplicity and success of achieving global planarization in VLSI and ULSI interconnect-fabrications, it is being explored for (a) use in the front-end processes of the same circuits, (b) planarizing aluminum and Al-alloysfor use as interconnections, (c) planarizing diffusion barrier materials, (d) planarizing polymers and polymermetal composites, (e) a large area planarization such as those in packaging and flat-panel displays, (f) silicon-on-insulator technology, (g) smoothing or planarizing ceramic and metal substrates/fiis used as substrates in packaging, and (h) planarizing or removing excessive undesirable growth during selective epitaxial growth. 269
270
C M P OF OTHERMATERIALS A N D NEW CMP APPLICATIONS
Some other interesting applications include the CMP of high dielectric constant materials (e.g., BaTiO,) that could be used for increasing capacitance, high T,-superconductors used for zeroresistance interconnections, and optoelectronic materials, especially waveguides, where surfaces will play an important role. There is considerable interest in these areas and there are quite a few challenges to encounter in each case. Unfortunately, very little is disclosed in the published literature. We shall review and discuss each of these areas in the following, with more focus on areas of immediate interest to microelectronic industry, the areas (a), (b), (4,(d), anti (h).
8.1
THE FRONT-ENDAPPLICATIONS IN SILICON IC FABRICATION
There are several possible applications that have been considered: (i) polysilicon CMP for deep trench capacitor fabrication, (ii) shallow trench isolation and elimination of "bird's beak," (iii) planarization of polysilicon films at gate level to reduce topography in high performance devices, (iv) making use of planarized photoresists, and (v) eliminating undesirable Si growth on insulating films during selective Si epitaxial growth, by CMP. 8.1.1 Polysilicon CMP for Deep Trench Capacitor Fabrication Each cell in a DRAM circuit consists of a transistor and a capacitor. In the operation of a DRAM, information is stored in each memory cell as either the presence or absence of charge on the capacitor. As with most ICs advancements in DRAM memory density and cost are made by scaling the dimensions. However, as capacitor dimensions are scaled, the amount of charge that may be placed on the capacitor is reduced. In order to maintain the integrity of the stored data, it is necessary to maintain the amount of charge that may be stored on the capacitor and hence maintain the capacitance through each successive generation of smaller circuits. The capacitance of a parallel plate capacitor is given by:
8.1 FRONT-ENDAPPLICATIONS IN SI IC FABRICATION
271
A C=E E -
O f d
where E, is the permitivity of free space, E, is the dielectric constant of the insulator between the capacitor plates, A is the area of the capacitor plates, and d is the distance between the capacitor plates. The capacitance decreased with dimension scaling because the area of the capacitor decreases. In order to maintain the capacitance of the cell with scaling, it is possible to utilize higher dielectric constant materials or thinner insulator thicknesses; however, geometric changes in the capacitor design are often made to maintain the area of the capacitor. In order to reduce the chip area consumed by the capacitor while still maintaining the area of the capacitor plates, new capacitor designs extend the capacitor plates into the vertical direction, either up from the wafer surface or down into the substrate. Figure 8.1 shows one method of extending the capacitor up fromthe wafer surface. Unfortunately, extending the capacitor up results in severe nonplanarity. In contrast, deep trench capacitors, which are fabricated into the substrate as shown in Figure 8.2, do not decrease planarity. Deep trench fabrication is similar to inlaid metal. A trench is fist etched into the silicon substrate and then a thin oxide layer is grown on the trench walls. Next the trench is filled by deposition of a blanket layer of polysilicon. Then, the overburden of polysilicon is removed from the surface of the wafer, leaving the trench filled with polysilicon. The last step is to define a conductive strap drain/source of the transistor. In this design the polysilicon in the trench acts as one plate of the capacitor while the substrate serves as the second. R E etch back or CMP may be used to remove the polysilicon overburden. When RIE etch back is used, however, a center seam is etched into the trench. In addition, the R E etch is not selfarresting, and therefore leads to a step at the oxide-polysilicon edge. These defects reduce planarity and make it difficult to reliably cover the trench with the strap film.(') Center seam and edge step defects in deep trench formation are analogous to the same defects that form when RIE etch back is used to remove the
272
CMP OF OTHER MATERIALS AND NEW CMP APPLICATIONS
lnterlayer
iN
Storage node contact formation Side wall SiN formation
Etching away upper interlayer
1
r I
-
P
,lo
*'-.7q'n
Figate 8.1
7
!
-
-
-
Storage node formation Dielectric film formation Plate formation
One method of extending the capacitor up h m the wafer surface.
7
Figure 8.2
1-1
-
Deep trench capacitor fabrication.
overburden in the formation of tungsten studs. The reader is referred to Section 6.1.1 for a more detailed discussion of these defects. Capacitors formed using CMP to remove the polysilicon overburden do not suffer from center seams or edge steps and show
8.1 FRONT-ENDAPPLICATIONS IN S I IC FABRICATION
273
-
Decrease in active area
-
: due to bird’s beak
Figure 8.3
Local oxidation of silicon (LOCOS).
a high degree of planarity. In addition, polysilicon CMP processes can be highly selective to the Si3N4or SiO, masking films so that minimal erosion of the underlying f i i s is experienced.
8.1.2
Shallow Trench Isolation The most common method of isolating active areas in
CMOS cirCuitS is local oxidation of silicon (LOCOS)isolation shown schematically in Figure 8.3. A Si3N4mask is first patterned on top of a this sacrificial oxide. Next the silicon is thermally oxidized to grow a thick oxide 0.5-1.0 pm thick in the regions where there is no Si3N,. Because the oxidizing species (0,or -0) do not diffuse through the Si3N4,the nitride acts as a mask against oxidation. After the Si3N4is removed, the thick oxide grown in regions without Si3N, electrically isolates devices in the active regions (where the Si3N4mask prevented oxidation). LOCOS isolation introduces nonplanarity, and a “bird’s beak” at the edge of the active region reduces the packing density of the circuitry, both of which make LOCOS undesirable at sub-0.5 p dimensions. As a result, new isolation schemes are being intro-
duced in advanced sub-0.5 pm processes. One such process is shallow trench isolation (STI), shown schematically in Figure 8.4. After etching trenches into the Si,N, and silicon substrate, SiO, is deposited either by CVD or SOG. The last step is to remove the SiO, overburden with an oxide CMP step or a combination of RIE etch back and CMP. STI shows a high degree of planarity and a dramatic reduction in the chip area required for isolation due to an elimination of the bird's beak.") CMOS devices are very sensitive to damage to the silicon substrate. Therefore care must be taken to ensure that the oxide CMP process used for STI has low defect densities and stops on the Si,N4 mask layer. In particular scratches must be kept to a minimum. Also, because the oxide CMP processes tend to have low selectivity to Si3N4,(')the amount of overpolishing performed must be kept to a minimum, which requires high polish rate uniformity to ensure that all of the SiO, overburden is cleared evenly. 8.1.3 CMP of Polysilicon Films In a typical process it is common to employ one, two, or even three layers of overlapping polysilicon gate electrodes with or without silicide straps on top to lower the effective resistance. A severe topography is thus generated at this early stage of device/circuit fabrication. Planarization of the polysilicon surface (in some cases of the silicide surface) may help reduce topographyrelated problems. One example will be in the fabrication of chargecoupled devices (CCDs) formed in the imaging areas of full-frame sensors, thereby combining the functions of the photodetection and readout. The technique of preferential removal of overlapping layers of polysilicon by CMP is useful in maximizing the optical response. It is noted that the polishing of the polysilicon film will employ the well-known technology of polishing monocrystalline silicon substrates. This gives an opportunity to examine the effect of polycrystallinity and thus of grain boundaries and grain orientation in CMP of Si. Doped vs. undoped polysilicon will also shed
8.1 FRONT-ENDAPPLICATIONS IN S I IC FABRICATION
275
I SiSubstitute
v 1 I
Figure 8.4
I
Shallow trench isolation (STI).
light on the effect of dopants on CMP of Si. These results could be useful in variety of situations where polysilicon films are used. 8.1.4
CMP of Photoresists
Photoresists are applied by a spin-on process followed by a bake-out at elevated temperatures to volatize solvents and solidify
276
CMP OF OTHERMATERULS AND NEW C M P APPLICATIONS
the applied resist. Depending on the nature of the topography of the surface, such applications leave surfaces unplanarized, especially at the global level. One can therefore think of developing essentially a polymer CMP process to planarize the resist. Recently CMP was reported to have been used to planarize hard-baked photoresist, which served as an underlying organic layer in a 193 nm lithography bilayer resist process.(2) The planarization was reported to help to compensate for the limited depth-of-focus inherent in deep-W exposure tools.
8.1.5 CMP in Fabricating Superconducting Circuits Recently CMP of an interlevel dielectric S O , deposited using evaporation techniques, was carried out for superconducting circuits. "CMP of the interlevel dielectric f i i allows the junction area to be greatly reduced, thereby increasing the speeds of operation of the circuits, by eliminating the need to open vias to make contact to the top electrode."(3) The key to the success was in (a) the precise control to terminate the CMP within 200 nm after reaching the endpoint and (b) the ability to planarize a few hundred-micron-wide features. 8.2
PLANARIZINC AL AND AL ALLOYS
Aluminum or its alloys form the interconnection network on the chip. Typical A1 is deposited by a PVD process and then patterned using standard lithographic and RIE techniques. There are two major issues with this type of processing. The first one relates to the filling of high aspect ratio and small dimension vias by the PVD technology. The problem has been circumvented for the present by the use of CVD tungsten in vias, deposition is then followed by a CMP to remove W from horizontal surfaces. Use of W raises several questions regarding increased resistance and current crowding at the W/Al interface, leading to electromigration (EM)-related issues. In the future it will be required that Al vias be filled by advanced PVD or CVD technology. The second issue relates to patterning of Cu containing Al alloys. Cu is added to enhance the EM lifetimes, and presents considerable difficulty during RIE. These two issues and the fact that the use of polymer
8.2 PLANARIZING AL AND AL ALLOYS
277
interlayer dielectric on a planarized surface will eliminate the need of extensive CMP of soft polymers have led to the consideration of the development of a CMP process for Al (to be used in a dual damascene patterning approach). Aluminum presents one big problem during CMP -- its tendency to scratching and dishing because of its high malleability and softness. It is like W (and unlike Cu), however, in readily forming a passivating layer. Unfortunately, the native passivating layer (assumed to be Al(OH),) formed in aqueous medium is as soft as Al (the mho's scale of hardness for Al(OH),, Al, and A120, are in the range of 2.5-3.5, 2-2.9, and 7-9, respectively (see Chapter 3)). In view of these one must tailor the CMP process to reduce mechanical abrasion, enhance chemical action, and yet obtain global planarization. Both pad and slurry development are keys to success. Understanding the electrochemistry and using appropriate Pourbaix diagrams to select an oxidizer that may form A1203(or even AlO(0H) with hardness of -3.5-7 on mho's scale) rather than the hydroxide will be necessary in optimizing the slurry. An added complication is that the abraded surface films, if &03, could agglomerate and act as abrasives that m a y scratch. Consequently, it is desirable to prevent the formation A1203 on the surface by either operating in a region on the Pourbaix diagram (pH c 4 and pH > 9) where A1203does not tend to form, or by forming a different surface film.Low pH slurries of nitric acid(4) and phosphoric acid(%have been suggested for aluminum to prevent the formation of 40,. However, aluminum readily etches in these solutions, suggesting that planarity will be poor. Another alternative is to utilize oxidizers that tend to form a different surface film, analogous to the tungsten slurries containing halogens. Suemitsu et d."j)discuss the formation of non-A1203surface films on aluminum and aluminum alloys for the preparation of ultra-high vacuum chambers. A1203formation is undesirable in this application because Al,O, adsorbs moisture and thus requires longer pump down times for outgassing of the moisture. Suemitsu et al. find that by lathing in various alcohols, they are able to form allcoxides instead of A1203 which substantially decrease the moisture adsorption. Lathing is similar to polishing in this context in that lathing produces a bare aluminum surface to which the
278
C M P OF OTHERMATERIALS AND NEW CMP APPLICATIONS
chemicals react to form the new surface layer. Suemitsu et al. also evaluate the surface film formed during polishing with caprylic acid, which is known to form a salt with aluminum. The surface film that forms during polishing in caprylic acid is thought to be similar to the salt. Surfaces polished in caprylic acid also showed improved adsorption behavior. The surface layers formed by Suedtsu et al.'6' are examples of surface films that may form in place of the 40,f ilm during aluminum CMP. However, it is not clear that these films are appropriate for aluminum CMP. In particular, the hardness and passivating qualities (against etching) of the films will determine the film's effectiveness during CMP. In addition, one of the reasons aluminum is so widely used in modem ICs, is that the A120, film is an excellent barrier to aluminum corrosion. Any film that replaces the A1203should possess similar qualities. The fact that the films discussed by Suemitsu et al. are very thin (2-3 nm) and dense (that they adsorb less moisture than A1203indicates that they are dense) suggest that they do possess good passivating qualities. Electrochemically, tungsten and aluminum behave similarly. Both are passivated by their respective oxides and exhibit good corrosion properties as a result. In the absence of the passivating oxide (e.g., as a result of abrasion during CMP), aluminum is more active than tungsten. For example, at pH 7, aluminum begins to corrode at -1.96 ,,V while tungsten begins to corrode at -0.53 Vsm In addition, as a result of the difference in mechanical properties, the electrochemistry must be tailored to provide different functions, as discussed above.
An important consideration with aluminum CMP is that pure aluminum is rarely used, unlike tungsten which is often pure. Instead aluminum is alloyed with either silicon, to prevent contact spiking, or copper, to prevent electromigration, or both. The alloying elements may form a galvanic couple with aluminum during CMP, leading to accelerated dissolution of the more active species and precipitation of the more noble species. Such is the case with the TYCu galvanic couple discussed in Chapter 4. Silicon, which is more active than aluminum, would tend to dissolve faster, possibly resulting in pitting corrosion of the surface,
8.2 PLANARIZING AL AND AL ALLOYS
279
while copper, which is more noble than aluminum, may precipitate on the surface and form particulates. Yu et do carried out CMP of an Al alloy (Si 1 wt8, CLI 0.5 wt%, 98.5 w t 8 Al) sputter-deposited at 500°C on a lo00 A Ti/TiN barrier layer on patterned BPSG).They used an undisclosed slurry and two types of pads called a "hard" and a "soft" pad. Polishing rates were comparable on two pads, and the hard pad led to some scratches and good uniformity, whereas the soft pad resulted in no scratches but poor uniformity across the wafer. The polish rate was approximately 1500 and selectivity over Ti/TiN banier was -4. They noted a high degree of increase in the surface reflectivity of the aluminum surface indicating the smoothening of the starting rough surface (due to the high temperature deposition). Overpolish to remove the barrier layer led to an Aland barrier-free surface of the dielectric, leaving the metal only in the vias. Several other attempts are being made to develop the AlCMP process; References (8)-(10) are the known and published ones. Wang et al.(*)have used a slurry @H -3.8 and containing 3.5 wt% abrasive, and -2-6 wt% mix with HzOzas the oxidizer), a Rode1 pad XARS1627 (a composite pad with closed cell foam underlayer), and obtained a 1:l selectivity between Al and the adhesion promoter (TfliN or TiN). They also determined the final selectivity between the polish rate of the metal stack (Al/banier) and the ILD-plasma TEOS SO,. The selectivity decreased with pressure and platen speed, and a high selectivity was achieved when the pressure x platen speed product was less than 300 psi rpm. A high surface quality was reported. It is noted that the aluminum used in these experiments was Al-0.5 wt% Cu deposited in a two module "cold/hot" process (with 535OC as the high temperature to achieve the via fill). Al removal rate as high as 2000 &min with about 2.5% nonuniformity was achieved. Stell(') has recently reported the results of an investigation of aluminum CMP on a variety of pads. An undisclosed company proprietary slurry and process was used to screen three pads -Rippey 's MP-101 (a polyester fiber/polyurethane matrix), XP-601 (a grown-in-place, porometric polyurethane), and Rodel's IC- 1000 (blown, microporous polyurethane). The results indicate high polish
amn i
280
CMP OF OTHERMATERIALS AND NEW C M P APPLICATIONS
rate (-2500 &nun), low wafer-to-wafer nonunifomity (-5-lo%),and a nearly scratch-free surface with XP-601.It was clear that extensive work is necessary prior to selecting any one pad-slurry combination. 8.3
PLANARIZATION OF DIFFUSION BARRIERS/ ADHESION PROMOTERS
Diffusion barriedadhesion promoters (DBAP)are commonly employed both in Al and Cu interconnection technology as well as in W via fill processes. Generally, these materials are hard and have high melting points. Commonly used DBAP materials are TiN, Ti/TiN, Ti/TiN/Ti, Ta, and T%N. Si,N, or SiN, layers are used as so-called polish stop under the polymer or SiO,. All these materials are hard and are expected to polish at much lower rates compared to Cu and Al polish rates. However, in most circumstances a one-to-one selectivity is desirable between the polish rates of the metal and DBAP or a polish-stop, as reported by Wang et al.(*) for their process of planarizing Al over TfliN or Al over TiN. In both the cases of Ti or Ta CMP,the selectivity was found to be reduced when polishing Cu on these films compared to selectivities calculated from the data obtained by polishing Cu alone and compared with data of polishing Ti or Ta alone. The reduction in selectivity was explained on electrochemicalphenomena occurring in the presence of Cu (see Chapter 4). Note that the key to developing a CMP process for DBAP or polish stop layer is not a process optimized for these films alone, but a process that is optimized for the interconnection metal (or any other primary layer) or DBAP or polish stop layer, as was done in the case of Al or TiN or Al or TfliN by Wang et d.@) 8.4
CMP OF ADVANCED INTERLEVEL DIELECTRIC
MATERIALS: POLYMERS Of all the materials considered for CMP,polymers are the softest. Because polymers are so soft, polymer CMP and inlaid
8.4 ADVANCED INTERLEVEL DIELECTRIC MATERIALS
281
metal schemes that utilize polymers are likely to be a considerable challenge. The soft polymers are more likely to scratch and show other mechanical damage (such as structural damage due to deformation) than SiO, and metals. In addition, scratches in the polymer ILD layer are likely to result in more severe electrical degradation. A scratch in a metal line will have only minimal effect on the resistance of the line, while a scratch in the polymer ILD is likely to cause considerable current leakage. In addition, other forms of mechanical damage are possible, as discussed below. As a result, extreme care must be exercised to ensure that the polymers are not damaged. Also, the requirement that the ILD withstand a CMP step may exclude the softer polymers from consideration even though they may show other desirable attributes. 8.4.1
Polymer CMP
To prevent mechanical damage to the polymer during CMP, either the mechanical component of the polish must be reduced or polymers with high mechanical strength and hardness must be used. In order to decrease the mechanical component and still provide reasonably high polish rates, the chemical component must be increased. However, just as with metals, if the chemical component is too aggressive, than the polymer will etch in the slurry and planarization will be difficult. Thus, achieving proper balance between chemical and mechanical components is crucial. Increasing the mechanical strength and hardness of the polymer is achieved primarily through selection of the polymer material. However, individual polymers m a y be strengthened through curing cycles or by modifying the polymer structure. Figure 8.5 shows the hardness increase obtained by annealing parylene-N and parylene-F in an inert ambient. For comparison, copper has a hardness of approximately 1-3 GPa.(") Other concerns with polymer CMP include water (or other solvent) entry into the polymer, embedding an abrasive into the polymer, structural changes in the polymer, and delamination of the polymer from the substrate. Because water enters SiO, during CMP, it is reasonable to assume that water or other solvents used for CMP may enter the polymer during CMP. Water entry into polymers is known to increase the dielectric constant of the mater-
282
CMP OF OTHW MATERIALS AND NEW CMP APPLICATIONS
-
100
260
300
400
PA-N PA-F
500
Anneal Temperature ("C) Fm8.5
Hardness increase obtained by annealing parylene-N and paxylene-F in an inert ambient.
id and decrease its mechanical strength. In addition, water may outgas from the polymer during subsequent processing anD corrode any exposed metal. Because the polymer is soft, the abrasive can become embedded in the polymer, resulting in defects in the polyrner film Structural changes may occu in the polymer as a result of the high shear and normal stresses during CMP. Figure 8.6 shows a parylene film polished using a copper CMP process. Bubbles appear to have formed in or on top of the parylene film. Lastly, delamination of the polymer film due to poor adhesion may result in the entire film peeling off the wafer, or gaps forming at an interface between the polymer and a second film. One example of a successful polymer CMP program is for the fabrication of ILD layers for multichip modules (MCM) at MCC."*' Figure 8.7 shows schematically a cross section view of an MCM interconnection system."2) Low dielectric constant ILDs are required in MCMs because the long interconnect lengths lead to long delays. In the MCC process, the polyimide is deposited by
8.4 ADVANCED INTERLEVEL DIELECTRIC MATERIALS
Figure 8.6
283
Bubble forming in a parylene film polished using a copper CMP ptocess, shown at 2oox. ..
- ___-
a spin on application over the substrate containing copper pillars. The polyimide is then polished back via a two-step polish to expose the top of the copper pillars. The first step in the polish is an aggressive mechanical polish using a hard pad to achieve global planarity and rapid removal of material. The second step is a less
284
CMP OF OTHERMATERIALS AND NEW CMP APPLICATIONS
aggressive polish on a soft pad which removes any damage from the first step and leaves a good surface finish. While the dimensions of an MCC interconnection layer are much larger than for an IC, the demonstration of polymer CMP in this application shows promise for ICs. 8.4.2
Inlaid Metal CMP with Polymer ILDs
The need for CMP planarkation of polymer ILDs m a y be avoided through the use of inlaid metal schemes. As discussed in Chapter 6, inlaid metal schemes maintain the global planarity imposed in the first ILD layer so that only one lLD polish need be performed. If SiO, is used as the first ILD, then subsequent polymer ILD layers need not be polished directly and the problems of polymer CMP can be partially averted. However, CMP of the metal must still be performed at each layer, and even when the polymer is not directly connected during the metal CMP step, normal and shear stresses may be transmitted through the metal film.The polymer films must be mechanically stable enough to withstand the stresses involved. To increase the mechanical strength of the polymer and to prevent direct exposure of the E D to the pad/slurry, a hard material such as Si,N., may be deposited on top of the ILD to function as a polish stop layer. Figure 8.8 shows a cross section of IBM's metallization system using copper and polyimide 5810."3) Copper CMP is used to pattern the metal. Note that the first ILD level is SiO,, and each subsequent ILD layer is polyirnide 5810 capped with Si,N,. Other possible capping materials include SiOz and a-carbon. An additional advantage to the use of a capping layer is that it may act as an etch stop for future ILD etches. One drawback to the use of capping materials is that they increase the effective dielectric constant of the layer. For example, Si,N, has a dielectric constant of 7.5, which for even a thin layer can substantially increase the effective dielectric constant of the bi-layer. Even using a capping material, mechanical strength issues are still a concern. Good adhesion between all layers involved is critical. On the surface poor adhesion at either the metal-cap layer or the cap layer-polymer interfaces can lead to delamination during
85
Figure 8.8
OTHER APPLICATIONS
285
IBMs metallization system using copper and polyimide 5810.
CMP,resulting in removal due to ripping and pealing rather than polishing. Figure 8.9 shows delamination of the Ta/SiO, cap layer from the parylene-N ILD after removal of the copper metal. Within the trenches poor adhesion between the metal and polymer ILD can lead to the metal popping out of the trench. Even slight separations between the metal and polymer within the trench can trap slurry, leading to metal corrosion or other reliability failures. In addition to adhesion failures, the problems associated with structural changes in the polymer are still a concern even with a cap layer because the shear and normal stress imposed on the surface may be transmitted through the harder cap layer to the ILD layer. Figure 8.10 shows the gross structural defects occurring even with a 100 nm Si,N., cap layer. To ensure that these failures do not occur, mechanical stability of the ILD/metal structures during CMP must be considered in the selection of materials and processing schemes used for interconnections. 8.5
OTHER APPLICATIONS
In the beginning of this chapter several other possible applications of CMP were mentioned. Each one of these areas offers its own challenges related to the material to be polished,
286
CMP OF OTHWMATENALSAND NEW CMP APPLICATIONS
Fignre 8.9
Copper-parylene interconnections f m e d with copper CMP. The Ta liner/SiO, cap layer delaminated from the parylene-N ILD after removal of the copper metal.
Figure 8.10
The gross structural defects of Figure 8.6 occurring even with a 100 nm Si& cap layer.
substrate type, and of course the substrate area. At this writing we do not feel there is enough information on C M P of these materials, except perhaps the case of silicon-on-insulatorand selective silicon
REFERENCES
287
epitaxy. These two areas require polishing and planarization of crystalline silicon on insulator, similar to classic silicon polishing or polysilicon polishing discussed in Section 8.1.3.
REFERENCES 1.
2.
3. 4. 5.
6. 7. 8. 9.
10. 11.
H. Landis, P. Burke, W. Cote, W. Hill, C. Hofffman, C. Kaanta, C. Koburger, W. Lange, and S. Luce, Thin Solid Films, 220, 1 (1992). C.K. Keast, R.B. Goodman, M.W. Horn., and R.R. Kunz, in Proc. 1lth VMIC, Santa Clara,CA, Roc. W4ISMIC-103, Univ. of South Florida, Tampa (1994), p. 204. M. Bhushan, R. House, and J.E. Lukens, ibid., p. 216. K.D. Beyer, W.L. Guthrie, S.R. Makarewicz, E. Mendel, W.J. Patrick, K.A. Perry, W.A. Pliskin, J. Riseman, P.M. Schaible, and C.L. Standley, U.S. Patent 4,944,836 (1990). C. Yu, A. Laulusa, M. Grief, and T. Doan, in Proc. 9th VMIC, Santa Clara, CA, Roc. #92ISMIC-101, Univ. of South Florida, Tampa (1992), p. 156. M. Suemitsu, H. Shimoyamada, N. Miyamoto, T. Tolai, Y. Moriya, H. Ikeda, and H. Yokoyama, J. Vac. Sci. Technol. A 10,571 (1992). C.Yu, M. Grief, and T.T. Doan, in Conf. Proc. ULSI-VII, Mat. Res. SOC.,Pittsburgh, PA (1992), eds. V.V.S. Rana, R.V. Joshi, and I. Ohdomari, p. 519. J.F. Wang, A.R. Sethuraman, L.M. Cook, R.C. Kistler, and G.P. Schwartz, Semicond. Intern., 18, 117 (1995). M. Stell, Proc. SRC Topical Research Conference on Chemical Mechanical Polishing, held at Rensselaer Polytechnic Institute, Troy, NY, July 26-27 (1995) (SRC, Research Triangle Park, NC). J. Kalpathy Cramer, S.P. Murarka, D. Duquette, C. Sainio, and R. Carfio, to be published. W.R. LaFontaine, B. Yost, and C. Li, J. Mater. Res. & 776 (1990).
288
CMP OF OTHERMATERIALSAND N E W CMP APPLICATIONS
12.
N. Kumar, Roc. SRC Topical Research Conference on Chem-Mechanical Polishing for Planarkation, SRC, Research Triangle Park,NC (1992), proc. vol. #P!32008. B. Luther et al., in Roc. 10th VMIC, Santa Clara, CA, Proc. #93ISMIC-102, Univ. of South Florida, Tampa (1993), p. 15.
13.
CHAPTER 9
POST-CMP CLEANING
As mentioned in Chapter 1, a complete CMP process sequence will end with an effective cleaning process, leaving the planarized surface defect and contamination free and ready for the next step in the devicelcircuit fabrication sequence. By microelectronic fabrication standards, CMP is an inherently dirty process. Effective post-CMP cleaning is mandatory to achieve a high yield IC process. In this chapter we shall review the defect generation and cleaning. In the semiconductor technology area most of the experience has been accumulated from research and development in cleaning the silicon substrates. For CMP technologies a variety of additional materials are involved - insulators (like SiO,, doped SiO,, and polymers), high dielectric constant materials (like BaTiO,), polysilicon (doped or undoped), silicides to form low resistivity gate interconnections on top of doped polysilicon, metals (like Cu and Al, and their alloys, W, metal-nitrides, and Ta), silicon nitride, and many others, some of which have been discussed in earlier chapters. Thus cleaning processes must be 289
290
POST-CMP CLEANING
designed and proven for a large variety of materials. Furthemore, since CMP is a wet process a wet cleaning will be desirable in all cases. Our focus, in this chapter, thus will be on wet-cleaning processes only. Note that although the defect generation and microcontamination mechanisms may be nearly independent of the surface type, the defect and microcontamination types and the means to remove them effectively are expected to be surfacematerial dependent. A surface planarized by CMP may have many undesirable features on or within the surface: (a) particles - from the slurry or from the abraded surface and even from the surroundings -, (b) chemical contamination from the slurry and/or chemical crosscontamination resulting from different materials present on the surface (e.g., during CMP of inlaid metal), (c) physical damage, like scratches and pits, (d) stress, in the polished surface, associated with the compaction of the top few atomic layers, and (e) surface inclusions formed due to reactions with abrasive particles or pad materials. An effective cleaning process must take care of all of these. This would suggest that a possible sequence of several physically or chemically induced cleaning processes (such as those mentioned in Chapter 1) may be needed.
9.1
DEFECT GENERATION AND MICROCONTAMINATION
There are two types of defects that reside on a planarized surface (note that we are excluding any mechanical damage, such as scratches, from these discussions): defects that are held on the surface by physical forces and defects that are held by the chemical bonding (chemical contamination). The two types cover both onsurface and in-surface defects. Examples of the in-surface defects will be inclusions that are part of the surface that has been planarized. Physical Defects -Results of Physisorption The physical defects, such as the abrasive particles, their clusters, the fragments of the surface abraded by the abrasive
9.1 DEFECT GENERATION A N D MICROCON"ATI0N
291
and/or the pad, or similar contaminants (molecular or cluster of molecules) in the rinsing liquid, are held on to the surface as a result of the physical (such as van der Waal's) attraction between the surface and the particle. In such cases, there is a lack of a true chemical bond between the two. Generally such attractions are weak (compared to chemical bonding) and the result of dipoles induced in molecules when present in the vicinity of other molecules which also develop dipoles. Assuming that the van der Waal's interaction energy for the intermolecular pair, separated by a distance, r, is given by XI#, the van der Waal's interaction energy of a molecule interacting with a surface at a distance D is given by'"
where p is the number density of molecules in the solid. However, for a particle of a radius R at a distance D away from the surface and for D c< R, the interaction energy is given as(')
Eprs (D<
- x2 C p2 R 6 0
(9.2)
and for D >> R
Epls (D>>R) = x C p N 6 D3
(9.3)
where N is the number of molecules in the particle of radius R and is thus equal to 4/3 M 3 p . Also in Equations (9.1)-(9.3) the subscripts MIS and PIS qualify the molecule-to-surface and the particle-to-surface interactions, respectively. It is clear from the above considerations that for D cc R van der Waal's interaction energy (so-called physical adhesion strength) between larger particles and the surface decays much more slowly with distance than that between a molecule and the surface. On the other hand, at large separations, i.e., D >> R, the interaction decays very quickly with distance. Also note that the
292
POST-CMP CLEANING
interaction energy or the adhesion strength increases with the particle diameter. However, for a mechanical removal of the particle from the surface, the required acceleration decreases with increasing particle diameter because the mechanical forces depend on the mass or volume of the particle. When the van der Waal's attraction brings a particle (molecule) closer to the surface, a repulsive force develops between the core electrons of the particle (molecule) surface and those of the atoms in the surface. The equilibrium separation is determined by a balance between repulsive and the attractive forces and decreases with increasing radius of the particle. Note also that the dielectric constant of the medium separating the particle (molecule) and the surface also af€ects the magnitude of E in Equations (9.1)(9.3), as the constant C is inversely proportional to the dielectric constant. The van der Waal's attractive interaction energy is small, and thus the physisorption bands are weak and can be easily broken, especially when cleaning in high dielectric constant liquids. Physisorption is strongly aided by the presence of mechanical defects (e.g., scratches, surface steps, etc.) on the surface. The smoother the surface, the lower will be the particulate density (on surface) due to physical adsorption. The observed lower defect density" on chemically mechanically planarized surfaces is perhaps the result of a lack of the so-called mechanical defects on such surfaces.
The Role of the Electrostatic Forces The particles suspended and surfaces immersed in a liquid are usually charged by the adsorption of the ions from solution. The charge on the surface of the particle or any other surface immersed in liquid is balanced by an equal but oppositely charged layer in the adjacent liquid, resulting in a so-called electric double layer discussed earlier in Chapters 4 and 5. In a liquid with ions and molecules under constant thermal motion, one expects a diffused zone of charges in the solution and a compacted layer on the solid surface. Total charge density in the two zones must be equal and opposite in sign. When the liquid or the particle is in motion (with respect to each other) the compacted layer on the
9.1 DEFECT GENERATION AND MICROCONTAMINATION
293
solid particle surface moves with the particle, whereas the diffused zone of charges in liquid moves with the liquid. The boundary between the two regions of charges is shown as a shear plane in Figure 9.1.'3) The liquid velocity v is related to the electrostatic potential developed surrounding the particle and electric field strength X,as given by"
+,
where E and q are the permittivity and the viscosity of the liquid, respectively, and 6 is the potential at the shear plane (Figure 9.1) and is called the electrokinetic potential or the zeta potential. Note that both v and $ are a function of x, the distance measured from the surface of the particle (see Chapter 4). $ decreases very rapidly as one moves away from the surface of the particle, being zero in the liquid bulk where the velocity is maximum. During CMP, the slurry (the particle and liquid) flows between the solid wafer surface being polished and the pad. The concept of zeta potential applies for these surfaces as well. Both the wafer and pad surfaces are charged by attracting ions in the liquid and thus create the double layer. It is also noted that metallic surfaces (not those having oxide or other passivating layers on the surface) may behave differently. The concept of zeta potential should be carefully considered when discussing the CMP of metallic surfaces. Zeta potential is a function of the electrolyte concentration (c) and pH, decreasing with increasing pH and c. It is also affwted by an addition of the surface modifiers such as surfactants. Thus by varying c or pH, or by an addition of a surfactant to slurry one can manipulate the zeta potential at solid surfaces. When the sign of the zeta potential of the particle and of the surface (wafer or pad) is the same and the absolute value is large, a strong repulsion occurs between the particle and the surface, leading to particle separation from the surfaces of interest and eventually to cleaning. Malik et al.Q measured zeta potentials of several materials and correlated particle density (after cleaning) to high zeta potentials. Figure 9.2 shows their results. The zeta potentials (mea-
294
POST-CMP CLEANING
Electric Potential Surrounding the Particle Concentration of Negativ
Figure 9.1
Electrostatic double layer around a particle. (FromRef. (3).)
Zeta Potential vs. pH 401
5 a
-40-
-60-80-100
Figure 93
f PVA C SO2
-&A1203 *W
-
Zeta potential as a function of pH for PVA, SO,, A120,, and
W. (From Ref. (9.)
sued using the electrophoretic method(4))of SO,, A1203,W, and polyvinyl alcohol (PVA, a common scrubber brush material) particles are shown as a function of pH of the solution.Q The high
9.1 DEFECT GENERATION AND MICROCONTAMINATION
295
negative zeta potentials are obtained for all four solids at high pH of the basic slurries, yielding cleaner surfaces. Typical CMP slurries used for SiO, and W are basic. Post-CMP cleans should also be followed in basic solutions to minimize particle contamination of the wafer or pad. Ravkin et al.’6) studied the silicon oxide surface cleaning using PVA scrubbers and found that scrubbing with basic solutions W O H added) yielded the lowest defect densities on the surface. Their explanation was, however, based on the measurements of contact angle of a water droplet on the cleaned surfaces. Hydrophilic surfaces (surfaces with low contact angles) were cleaner when compared to the surfaces that were hydrophobic (higher contact angles). Riley and Carbonello measured the particle deposition from the bulk liquid onto p-type silicon wafers. The results are shown in Figure 9.3. By using soda-lime glass spheres with negative zeta potential they showed that although a linear relationship existed between the particle concentration in the liquid and the resultant deposition levels on oxidized Si (negative zeta potential), there was no time dependence of the deposition levels (Figure 9.3). On the other hand when using aminopropyl glass spheres with positive zeta potential, a significant increase in the deposition levels (on oxidized Si wafers) was observed with increasing submersion time in the liquid. Clearly the double charged layer repulsive forces were acting in the f i s t case but not in the second one. Riley and Carbonell(’) also calculated the particle deposition in liquid as a function of the ionic strength in the liquid and particle diameter. Figure 9.4 shows their results. Donovan et al.(3)explain these results as follows. The ionic strength of a liquid bath affects the width of the diffuse layer and hence the range of the electrostatic double layer (EDL). In solutions containing high ionic densities, relatively small volumes of liquid contain enough counterions to balance the particle surface charge and the width of the diffuse layers becomes comparable or smaller than the range of the attractive van der Waals forces between the particle and the surface. Under these conditions
296
POST-CMP CLEANING
0
E'ignre 93
10 20 Submersion Time (minutes)
t0
The effect of electrostatic double layer repulsion upon particle deposition. (From Ref. (7).)
.5
10,000
.e
1,000
Particle Diameter, prn
100
u.6
2 2 c
2
..-
1.5
u)
_+_
0 Q
6
10
__t_
.-0 r cp
1
10'
.05
*.01
Q)
n
.3
6 .1
10"
10'~ 104 10-~ lo-'
lo-'
loo
Ionic Strength (mol/L)
Figure 9.4
Calculated panicle deposition (5 min in a solution of lo' par&icles/cm3)for a wafer zeta potential of -10 mV and a particle zeta potential of -19 mV. (From Ref. (3).)
the EDL no longer creates a protective barrier and no longer prevents particle deposition. The effect of solution ionic strength can be Seen in the calculated plots of [Figure 9.41. At ionic strengths exceeding about mol/l, EDL effects have been eliminated for a l l particle diameters considered. At these ionic strengths the particle deposition predicted in [Figure 9.41 corresponds to
9.1 DEFECT GENERATION AND MICROCONTAMINATlON
297
that calculated for a 5-min exposure to a solution of 104 particle/cm3, using the particle deposition velocities calculated for particle diffusion. At lower ionic strengths EDL effects become apparent as first the larger, slower diffusing particles are prevented from depositing and then, at continually decreasing ionic strengths, the smaller and faster diffusing particles also reflect the effect of EDL. [Figure 9.41 also illustrates the dependence of EDL upon diameter and shows that at 0.01 pn, EDL for these values of zeta potential is ineffective over the entire range of ionic strengths. Small, fast diffusing particles will surmount EDL barriers more readily than the large, slower diffusing particles, a conclusion potentially of importance as the minimum size of a "killer" particle decreases to the sub-0.1 p range. It is pointed out that during CMP the pressure exerted on the wafer and experienced by the abrasive particle as well as the pad will act to overcome the repulsive barrier between the particle and the wafer. Particles get closer to the wafer and cause abrasion. The lower the repulsive forces, the lower will be the pressure needed to cause abrasion. Thus a careful balance between the absolute values of the zeta potentials must be evaluated to optimize the mechanical grinding (affecting polishing) and particle adhesion to surface. During post-CMP cleaning the pressure may not be a key player, but higher fluid velocities m a y be necessary in removing smaller particles. Capillary Forces
Figure 9.5 schematically shows the capillary effect and the resulting effect on the particle adhe~ion.''.~)A liquid bridge forms between the particle and the substrate and holds the particle to the surface by surface tension. The liquid film could be a result of capillary condensation in high relative humidity or of a retention of liquid during substrate removal from the liquid bath. The attractive force F, is composed of the force caused by surface ten-
298
POST-CMP CLEANING
Liquid Film
F, = ~ x R Y ,
where yLis the liquid surface tension
Figure 9.5
Schematic of the capillary effect and force. (From Refs. (1) and (3)J
sion and that due to the capillary pressure (called Laplace pressure). Israelachvili") considers the force due to the resolved surface tension around the circumference to be small compared to the Laplace pressure. For wetting liquids (contact angle approaching zero) of surface tension yL and particle of the radius R,
Fc
=
4xQ,
(9.5)
Thus capillary force, holding the particle to the surface by a thin liquid fiimbetween the two, is directly proportional to the particle radius and the liquid surface tension. For water yL = 72 dydcm, and for a 1 pm diameter particle F, = 4 . 5 ~ 1 dyn. 0 ~ Thus capillarity forces can be significantly higher than the van der Waal's forces. Also note that use of the liquids with lower y" (e.g., ethylene glycol with yL = 48 dyn/cm or n-hexane with yL = 18.5 dyn/cm) will lower F, and thus lead to easier removal of the particle during cleaning. Chemical Contamination (Defects) on Surface Chemical contamination arises due to strong chemical bonding between the atoms or molecules from the slurry (and pad) to those on the surface being planarid. Chemical defects, on the other hand, are formed due to chemical interactions between
9.1 DEFECT GENERATION AND MICROCONTAMINATION
299
particles (or pad) and the surface being planarized. Examples of the latter have been presented in Chapter 5 where an abrasive (AhO,) and SiO, surface interactions were discussed. These surface inclusions of Al,O, were associated with chemical interactions between SiO, and Al,O, in a certain pH range. Such inclusions are also a function of the particle size, pressure, and platten rotational velocity. Excessive frictional forces may tear off pad material and cause their inclusion in the surfaces being polished, especially in the softer material surfaces (Al, polymers). Chemical contaminations arise from the interactions of slurry chemicals added to provide specific planarhation behavior. For example, KOH or NH,OH is added to provide chemical etch rates of SiO, surface, and oxidizers are added to W or Cu slurries to produce passivating surfaces that protect low areas on the surface from chemical etching. These chemicals may produce contamination on polished surfaces leading to unwanted electrical activity (e.g., corrosion) in metals. Chemical contamination may also result from the metal surfaces exposed to polishing of SiO, or polymer dielectrics, from ILD surfaces to exposed metal surfaces, or from underlying diffusion barrier/adhesion promoter layers (at the end of metal polishing) to neighboring metal or dielectric surfaces. Some of these contaminant atoms/molecules may also be pinned (into the surface being planarked) due to the mechanical forces employed during polishing leading to increased compressive stress in the surface layers as reported in the case of CMP of Cu in Chapter 7. Not only ContaminaatS can cause this pinning, the atoms of the surface being polished and removed by the abrasion can also get pinned into the surface causing increased compressive stress. Chemical contamination may also result due to electrochemical effects during the CMP or the withdrawal of the surfaces from the slurry and during cleaning in solutions containing the impurity atoms (see Chapters 4 and 7). Thus, maintaining the purity of chemicals used in slurry formations and cleaning solutions is essential.
300
POST-CMPCLEANING
9.2
PARTICLE REMOVAL
The discussion in Section 9.1 clearly indicate that physisorbed particles . . can . . be removed by promoting lowering of adhesive forces. Ahwnmng the adhesive forces and applying external forces to overcome these adhesive forces are the strategies n o m y adopted to clean surfaces of particles. High dielectric constant liquids (e.g., water) are generally good to affect cleaning. Choice of the liquid is also effective in minimizing the capillary forces of adhesion. As noted earlier the removal forces such as those associated with centrifugal or vibrational methods vary as R3 (seeSection 9. l), and those associated with hydrodynamic drag vary as R2.(3)On the other hand, all adhesive forces vary as R. Thus the ratio of adhesion force to mechanical force varies as R2or R-', and with reduced particle diameter, more mechanical energy is required to remove particles physisorbed on surfaces. Typical cleaning methods include use of (a) brush scrubbing, (b) hydrodynamic jets, and (c) acoustic ultrasonic, and megasonic energy. The following discussion has been adopted from the review by Donovan et al.'3) Brush scrubbing: brush scrubbing is one of the oldest methods for removing particles from wafers. In spite of its name, it uses hydrodynamic drag to exert a removal force on the surface particles. Brush bristles do not contact the particle or the surface but rather act as oars or paddles that push liquid across the wafer surface, dislodging particles. This technique is effective for particles larger than 1 p.It is suitable for both hydrophilic and hydrophobic wafers. Hydrodynamic jets: both high pressure (1,OOO to 2,000 psi or higher) and low pressure (< 100 psi) jets are used in industry to clean surfaces. Particle removal from wafers is typically by low pressure sprays during rinsing. While high pressure sprays are more effective in particle removal, especially small particles, they can easily damage wafers.
9.2 PARTICLE REMOVAL
301
Particles of interest to wafer cleaning are small with respect to typical hydrodynamic boundary layers which can be as thick as a few tenths of a millimeter so the drag force actually ex& on the particle is that of a much slower moving fluid than the nozzle velocity of the jet. While more effective for small particles than brush scrubbing, the pressure required for submicrometer particle removal is too high for patterned wafer application. In spin rate dryers a low pressure spray is sometimes combined with centrifugal spinning forces for removal of both liquid and particles. Acoustic techniques: ultrasonic (20 to 40 kHz) and megasonic (700 to 1,000 kHz) cleaning using acoustic transducers to couple high-frequency pressure wavers into a cleaning bath. Ultrasonics, however, clean primarily by cavitation - implosion of bubbles at the wafer surface that dislodge particles and also, unfortunately, damage the surface. Megasonics, on the other hand, minimize cavitation effects and have proven effective in removing particles in the submicrometer range, especially when used with an SC-1 solution.r(s'o)l Removal efficiency typically falls off rapidly below 0.2 to 0.3
w-
Leenars(") has shown both from theoretical considerations and experimental measurements that the passage of a liquid-gas phase boundary along the substrate may result in particle removal. It is shown that since both EPls(Equation (9.2)) and F, (Equation (9.5)) are both proportional to R,the surface tension effects can be utilized to clean particles of all sizes. Theoretical considerations are described in Reference (1 1). By adopting a suitable combination of the cleaning liquid, particle, and surface properties, adhesion forces can be overcome by surface-tension-related forces - the adhesion of the particles to the liquid-gas phase boundary is stronger than their adherence to the substrate. This leads to subsequent removal of the particle into the moving liquid. McConnell('*) has shown that isopropyl alcohol (PA) can be used to achieve this type of
302
POST-CMP CLEANING
cleaning. P A increases the contact angle and thus enhances particle removal. This surface tension and surface tension gradient induced cleaning needs further development. The kinetics of the process has to be carefully evaluated and adjusted for optimum cleaning. Two other techniques have been suggested and are promising for particle removal. The first one depends on bombarding the surface with particles that evaporate (e.g., dry ice, solid argon, or solid ice particles). During bombardment there is an energy transfer to the particles on the surface leading to their dislodging from the surface."*'9 In a recent work solid ice particles (10-300pn in diameter) were used to effect post-CMP cleaning.'"j) The technique was found to be very effective for not only removing particles but also contaminations and did not cause any damage due to charge buildup. The second technique utilizes flash (nanosecond) laser heating either to heat the dry particle, the substrate, or the substrate under the particle immersed in a liquid.(1n In the first two cases a rapid differential expansion occurs at the particle-substrateinterface dislodging the particle. In the third case substrate rapid heating ablates the liquid lifting the particle up into the liquid. Considerable experimentation needs to be canid out and the effects of heat on the film under the particle have to be determined.
9.3
MICROCONTAMINATION AND CHEMICAL DEFECTS
A considerable amount of work in removing chemical contamination has been published in the past. Removal of metallic, nonmetallic, and organic contaminants has been covered, discussed, and practiced. All aim at dissolving the microcontaminants in contamination-free solutions. In some cases they are oxidized first and then dissolved. Significant attention must be paid to the different types of materials present on the surface and the selectivity of the chemical reagents. One of the mechanisms causing particle removal by chemical action involves dissolving the substrate under the contamination and then lifting away the contamination in the chemical solution. This mechanism can also be employed to remove inclusions in the surface such as those
9.4 SUMMARY
303
caused by alumina particles on SiO, surfaces during CMP (see Chapter 5). In both cases a thinning of the underlying substrate results. Chemical etching may also be used to remove the highly stressed layer resulting due to CMP (see Chapters 5 and 7). For planar and one material surfaces chemical etching is appropriate as long as the etching does not cause thinning to compromise reliability. For more than one-material surfaces, preferential etching of one m a y lead to enhanced surface roughness and loss of planarity. Note that chemical etching is generally isotropic, which translates the defect dimensions in the underlying layer (e.g., scratches, inclusions). 9.4
SUMMARY
This chapter has raised the issue of post-CMP cleaning, especially the removal of particles from planarized surfaces. Both physical and chemical phenomena were reviewed followed by techniques that can be utilized to cause particle and microcontamination removal.
REFERENCES 1. 2.
3. 4.
5.
J.N. Israelachvili, Intermolecular and Surface Forces, 2nd Ed. Academic Press, New York (1992), pp. 82, 152, 176. R. Jairath, J. Farkas, C.K. Huang, M. Stell, and S.-M. Tzeng, Solid State Technol. 37,71 (1994). R.P. Donovan, T. Yamamoto, and R. Periasarny, Mat. Res. SOC. Symp. ROC. 315. 3 (1993). K.B. Oldham and J.C. Myland, Fundamentals of Electrochemical Science, Academic Press, New York (1994), p. 325. 1.3. MaIik, J. Zhang, A.J. Jensen, J.J. Farber,W.C.Krusell, S. Raghavan, and C. Rajhunath, Mat. Res. SOC.Symp. Roc. 386, 109 (1995).
304
POST-CMP CLMNING
6.
M. Ravkin, J.J. Farber, I.J. Malik, J. Zhang, A.J. Jensen, J.M. de Larios, and W.C. Krusell, Mat. Res. Soc. Symp. Roc. 386, 115 (1995). D.J. Riley and R.G. Carbonell, J. Colloid Interface Sci. 158, 259 (1993). V.B. Menon and R.P. Donovan, Review of Particle Control Methods During Wet Chemical Cleaning of Silicon Wafers, in Semiconductor Cleaning Technology/l989, PVW-9, eds. J. Ruzyllo and R.E. Novak, The Electrochemical Society, Inc., 10 S. Main St., Pennington, NJ 08534-2896 (1990), p. 167. W.A. Syverson, M.J. Fleming, and P.J. Shubring, The Benefits of SC-l/SC-2 Megasonic Wafer Cleaning, in Cleaning Technology in Semiconductor Device Manufacturing, PV92-12, eds. J. Ruzyllo and R.E. Novak, The Electrochemical Society, hc., 10 S. Main St., Pennington, NJ 08534-2896 (1992), p. 10. C.J. Gow, R.E. Smith, W.A. Syverson, R.F. Kunesh, E.D. Buker, K.B. Albaugh, and L.S.Whittingharn, A Method of Evaluating Cleaning Techniques for the Removal of Particulates from Semiconductor Surfaces in Cleaning Technology in Semiconductor Device Manufacturing, PV 92- 12, eds. J. Ruzyllo and R.E. Novak, The Electrochemical Society, Inc., 10 S. Main St., Pennington, NJ 08534-2896 (1992), p. 366. A.F.M. Leenars, A New Approach to the Removal of Submicron Particles from Solid (Silicon) Substrates, in Particles on Surfaces I, eds. K.L.Mittal, Plenum Press, New York (1988), p. 361. C.F. McConnell, Microcontamination, 2 36 (1991). W.H. Whitlock, Dry Surface Cleaning with CO, Snow, Fine Particle Society Meet., Boston, MA, August 22, 1989. W.T. McDemott, R.C. Ockovic, J.J. Wu, and R.J. Miller, Surface Cleaning by a Cryogenic Argon Aerosol, in 1991 Proc. of the IES, ES, 940 E. Northwest Highway, Mt. Prospect, IL 60065 (1991), p. 882. I. Kanno, T. Ohmori, T. Fukumoto, T. Noguchi, and Y. Maeda, Surface and Back Surface Simultaneous Cleaning
7. 8.
9.
10.
11.
12. 13. 14.
15.
16.
17.
by Ice Scrubber Cleaning, in Microcontamination 91 Proc. Canon Communications, Inc., 3340 Ocean Park Blvd., Suite 1O00, Santa Monica, CA 90405 (1991), p. 625. M. Takenaka, Y. Satoh, A. Ishihama, and K. Sakiyama, Mat. Res. Soc. Symp. Proc.&3 121 (1995). A.C. Tam,W.P.hung, W.Zapka, and W. Ziemlich, LaserCleaning Techniques for Removal of Surface Particulates, J. Appl. Phys. 71, 3515 (1992).
APPENDIX - PROBLEM SETS
CHAPTER 1 1.
2. 3.
4.
306
Examine Table 1.1 carefully and explain why certain processes lead to an amplification or generation of steps whereas others do not or reduce such step heights. What does a global planarization really mean in a literal sense and for practical application in advanced silicon IC processes? If one examines the future needs of high performance IC processing (Table 1.3)' more than seven levels of interconnects may be required. Such schemes will be implemented using CMP methods to planarize at each level. Estimate the impact of building multilayers on stresses in underlying layers and its and CMP's impact on adhesion stability requirements. Discuss the impact of chemical and mechanical damage sto polished surfaces on the reliability of the interconnects.
CHAPTER 2
5.
307
Although CMP has been used for many centuries, its remarkable success in producing advanced ICs has been associated with advancing of the engineering practices of the CMP of SiO, and W, both of which are hard materials. Briefly discuss your concerns (and possible solutions) about planarizing soft materials like A1 and a polymer.
CHAPTER 2 1.
The RC time constant discussed in Section 2.1.1 assumes a lumped resistor and lumped capacitor model for the interconnection. That is, the interconnect may be modeled as: R
An output voltage response of is given by:
However, an interconnection in reality has distributed capacitance and resistance and is more accurately modeled as: Ri
Ri 0
V
2.
-
-
-
The greater the number i, the more accurate the model. How will the calculated RC time constant be affected by the nonplanar surfaces? Can you model the effect of surface roughness on RC?
- PROBLEMS SETS
308
APPENDIX
3.
Using the results of problem 2.2, plot the RC time constant vs. line spacing for the following material sets: (a) Al-Si-Cu metaVSi0, ILD Cu metaVSi0, ILD (b) (c) Al-Si-Cu metavpolyimide ILD (d) Cu metaVpolyimide ILD (e) Cu metavparylene ILD (fj Cu meWteflon AF E D . Consider a 0.5 pm thick Al-Si-Cu film entering a round 1 pm diameter contact hole. Calculate and plot the current density through the thinnest portion of the metal film inside the hole for step coverages of 10096, 8096,6096,4096, and 20% if the current carried by the line is 100 pA. At approximately what step coverage does the current density exceed 2x16 A/cm2 (the predicted current density limit before the onset of electromigration failure for Al-alloys)? What should the minimum step coverage be? A CMP process is required to reduce a 5000 A step height to lo00 A or less. Processes 1 and 2, in the table on the next page, are proposed: for both processes, the polish rates in the high and low regions are given by the equation:
4.
5.
Polish rate = A + Bt where t is the minutes and A and B are given in the table below. Calculate the process time required to reduce the step height by 4OOO A for each process. Also calculate the amount of material removed in the high and low regions for each process. What are the advantages and disadvantages of each process?
CHAmR3
309
Table: Parameters A and B for Pdisb Rate in a m n i
1.
High
Low 2.
High
Low
4700 800
-820 430
3250 100
-700 100
CHAPTER 3 1.
2.
3.
4.
Group the CMP variables listed in Chapter 3 in order of their importance (or impact) in affecting planarization. Which ones do you think are independent variables? Discuss the impact of the wafer-bow, produced during wafer fabrication or due to various films deposited on the surface, on the CMP process used to achieve global planarization. Assuming a given radius of curvature ‘R’in the wafer, calculate the load necessary to counter the forces producing the wafer-bow. Assume that you are polishing the wafer with a bow (no compensating load is used) of a given radius of curvature ‘R’. Estimate its impact on planarizing time and possible overpolishing. Besides the issue of wafer-bowing, discuss the impact of stresses in films on the CMP of materials.
CHAPTER 4 1.
The Cu-Cubond is 177 kJ/mole. Assuming a pressure of 15 kPa, a velocity of 130 cm/sec, and a polish rate of 500 d m i n , compare the mechanical work performed by the pad/slurry system to the copper bond energy. The work
310
APPENDIX - PROBLEMS SETS
performed by the pad/slurry system may be approximated by:
2.
3.
4.
5.
6.
where h is the coefficient of friction, F is the down force, and d is the total distance the wafer travels on the pad. Make an estimate of h. (a) How much energy is required to bring an ion, such as NO,, within 100 pm of a metal surface with a surface -~ Within 10 pm? (b) Compare charge of 1 . 6 ~ 1 0C/cm2? the energy required to mechanically dislodge all the tungsten atoms of a 1000 A thick film if the tungsten film remains unreacted during CMP vs. if the tungsten film is converted to WO, before being abraded. The W-W bond energy is approximately 500 kJ/mole and the W-0 bond energy is 672 kJ/mole. The deformation behavior of two pads are shown in Figure 4.14. Which pad shows greater elastic behavior? Which pad shows viscoelastic behavior? A CMP process is required to planarize a surface with a maximum step height of 5000 A. If the velocity of the pad is 50 cdsec, which pad will polish faster inside a 5 pm wide trench? A 10 pm wide trench? A 15 pm wide trench? What is the maximum width of a low region that may be planarized by each pad? (Note: assume that the pad relaxation and deformation behaviors (curves) are similar and symmetric.) From Table 4.3, list the possible oxidizing agents for Al, Cu, and W metals. In the absence of added oxidizing agents, which oxidizer(s) in water is(are) likely to drive the oxidation of each model during CMP? Assuming the number of SiO, molecules removed per unit time are identical in three samples shown in Figure 4.51b, calculate the differences in density of these samples. (Use polish rates in the region of 4 to 6 h.) Are these differences realistic or not? It is hypothesized that a passivated layer may form on metal surfaces exposed to the polishing slurries. Using the
CHAPTER 5
311
various Porbaix diagrams available in Reference 11, predict the possibility of forming such layers and the conditions under which they may form on Al, Au, Cu,Ta, Ti, and W.
CHAPTER 5 1.
2.
In silicon IC fabrication SiO, surfaces are polished using SiO, particles as abrasives. On the other hand, in the glass polishing industry, CeO, is commonly used, especially during final polishing. Can you comment on these applications? Square wave patterns with trench depths of 1.0 pm are etched into silicon substrates to determine the planarization rate, P, of two oxide CMP processes. After patterning, 2.0 pn of SiO, is deposited onto the substrates. The wafers are then polished to remove oxide in 0.2 p increments. The surface amplitude is measured after each polish and the resultant data tabulated in the table below. Plot log(wAi) vs. oxide removed and determine P for each process and each trench width. Which process is more effective at planarizing? Oxide Removed
Process A w = o . 1 mm
w = l m
0.2
0.95
0.99
1.oo
0.4
0.68 0.50 0.35
0.77 0.65 0.50
0.95 0.9 1
0.6 0.8
w=5mm
0.87
312
APPENDIX - PROBLEMS SETS oxide Removed 0.2 0.4
0.6 0.8
3. 4.
6.
mess B w=O.lm
w=lmm
w = 5 m
0.83 0.61 0.40 0.30
0.90
0.96 0.91 0.85 0.8
0.7 1
0.58 0.45
List as many pad parameters or other CMP parameters that may affect planarization rate. Describe each. Rank the following metal line pattern configurations in order of least to most difficult to planarize the subsequent ILD layer: (a) An array of line-space pairs with 1 p spaces and 1 p lines. An array of line-space pairs with 0.5 p m (b) lines. An array of line-space pairs with 1 pm (c) spaces and 0.5 p lines. 30 p power distribution lines separated by (d) 10 pm. (e) Isolated 100 pm by 100 pm bonding pads for test structures. (f) Isolated 5 p lines. To lower the polish rate of low lying regions, and thus enhance the planarization, the concept of a capping material has been suggested. A slowly polishing material, such as Si,N,, is deposited on the top of the SiO,. Once the cap layer is removed by CMP in the high regions, the difference in polish rate between the high and low regions is increased. Discuss the advantages and disadvantages to such a scheme.
CHAPTER 6 1.
Based on data of Figure 6.11, what is the average polish rate of tungsten inside a 1.0 pm recess, a 1.5 pm recess, a
CHAPTER 6
2.
3.
4.
5.
6.
313
2 pm recess? Speculate as to why the 4 pm wide line exhibits greater dishing with no overpolishing. When a bare metal surface is exposed by CMP, the metal oxidizes forming both ionic and solid oxidation products. What determines which oxidation reactions will dominate, thermodynamics or kinetics, or both? For 200 mm diameter wafers, what is the tungsten corrosion rate (in nrn/min) that corresponds to the corrosion current densities plotted in Figure 6.16? The density of tungsten is 19.4 g/cm2, the atomic mass of tungsten is 184, and the charge on an electron is 1.6~10-l~ C. Do you expect the polish rate in Figure 6.16 to be greater or less than the corrosion rate? Using reasonable estimates or known values of the Young's moduli estimate the indentation depth of a 0.03 and 0.1 pm diameter alumina abrasive particle in tungsten and tungsten oxide films.Assume a load of 15 Wa is used during polishing. If the oxide is hydrated will the indentation depth change? What will be the effect of using diamond abrasive? Tungsten films have a large density of grain boundaries (approximate grain size is about 1000 A). Comment on the effect of grain size and orientation on indentation depth and hence the polish rate. Compare the scans given in Figure 6.15 and comment on the effectiveness of the oxidizers in creating the passivated surface on W and on the interaction of the abrasive with slurry chemistry, W and SiO, which will eventually by exposed to sluny.
CHAPTER 7 1. 2.
Examine thermodynamic, chemical, and physical properties to answer the question, "How is copper different from W and Al as far as polishing behavior is concerned?" It is suggested that copper should be alloyed with another element to make it corrosion resistant and adhere to
314
3.
4.
5.
6.
7.
APPENDIX
-PROBLEMS SETS
dielectric surfaces. Comment on the effect an alloying element Al, Mg, or Ta and its concentration in Cu on CMP behavior of Cu. There is a competition between dissolution of the mechanical abraded material in the slurry and redeposition back on the abraded surface. Derive an expression for the removal rate of copper as a function of the polish parameters, solubility of Cu or passivated Cu in the slurry, and the redeposition factor. A copper film is deposited over a patterned dielectric (with Ta (200 A) as an adhesion promoter layer). The height difference between the recessed copper (in trenches and vias) and copper on the dielectric surface is around 6000 A (note the via depth is only 3000 A) and selectivity of the polish process (on Suba IV pad) is given (as polish rate of Cdpolish rate of Ta) 2 or 4. Using the results of Figure 7.24,estimate the dishing that can occur in 0.3 pm, 1 pn, and 5 pm lines when overpolishing is necessary to remove Ta layer. How can we eliminate dishing? Table 7.3 lists the calculated Preston coefficients for a given polishing condition. Comment on the difference between the calculated (& = 1/2 E) and experimental values for Cu. It is known that polishing leads to a state of compressive stress near the top surface layer of the polished surface. Taking the analogy of the vapor deposited films, especially those deposited by sputtering, explain the stress condition induced by CMP and the role of abrasive size on this stress. Comment on the validity and applicability of Eqs. 7.14, 7.15, and 7.18 in estimating the dishing and erosion and their relationship to pattern density.
CHAPTER 8 1.
Figure 8.3 shows a LOCOS isolation process to grow the field oxide. Such a process leads to enlargement of the field region due to oxidation continued beyond the originally
CHAPTER 8
2.
3.
315
created recess in Si,N,. Assuming that the oxidation of silicon occurs isotropically, calculate the extra space consumed due to LOCOS. What are other ways to avoid this? Assuming the Preston's coefficient is given as 1/2 E where E is the Young's modulus of the film,tabulate the coefficients for Si, SiO,, Al, Cu, polysilicon, W, parylene, and a photoresist of your choice. Examine various high volume and low volume silicon ICs and the processes that are used to produce them. Suggest which processes may benefit from the use of an idealized scratch-free CMP process that can truly result in global planarization.
CHAPTER 9 1.
2.
3. 4.
5.
A particle is attracted to the surface due to van der Waal's interaction between the particle and the surface. The interaction energy is a function of particle radius R and the separation distance D (from the surface). Plot the interaction energy as a function of D for particles of (a) various radii and (b) two different materials: carbon and alumina. How will the curves in Problem 1 be modified at very small values of D where repulsive force between the coreelectrons become significant? Qualitatively explain the statement "zeta potential is a function of the electrolyte concentration and pH." How else can we manipulate zeta potential? Estimate the capillary forces for particles of 0.03 and 0.1 prn diameter for water, glycol, and n-hexane. How do these numbers compare with an estimate of van der Waal's forces? Chemical (bonding) forces are significantly stronger than physical forces. A contaminant or an abrasive particle can interact with underlying surface material being polished forming a stronger bond. Predict that such contamination may or may not occur when polishing SiO, films with
316
APPElWIX
-PROBLEMS SETS
A1203,Hf02, or SO, abrasives. What kind of forces will be required to remove these particles itom the SiO, surface?
Assume a particle size of 0.1 pm (diameter).
INDEX
Abraded Material 41, 63, 86, 104, 113, 141, 194, 210, 21 1, 223-226, 228, 230, 231, 233, 239, 240, 242-245,248, 250,251,254,314 Abrasion Modes 62, 63 Abrasive Concentration 43, 151, 153, 192, 202 Abrasives 8, 9, 11,43, 44,48, 62-64, 123-125, 141, 142, 145, 146, 147,253,254, 278,311,316 Advantages of CMP 2, 4, 37, 181 Alumina Slurries 150, 152 Blanket Titanium Films 107 Boundary Layer Interactions 55 BTA 9, 120, 212, 220-223 Buffering Agents 38,41 Calculations 19, 250, 264 Capacitance 16, 17, 19,270,271,307 Capillary Forces 297, 300, 316 317
318
INDEX
Challenge of CMP 38,39 Chemical Contamination 168,290,298,299,302 Chemical Defects 298,302 Chemical Durability 38,47, 131, 133 Chemical Durability and Reactivity of the Pad 47 Chemical Mechanical Planarization 1 Cleaning 7-11, 38, 47, 48, 58, 168, 169, 289, 290, 292, 293, 295, 297-305 CMP Planarization 12,34, 37, 160, 173, 174,287 CMP Process 2,4, 7, 11, 12, 30, 34, 37, 39, 36, 39, 40, 42, 48, 49, 52, 53, 62, 65, 66, 86, 104, 120, 124, 125, 129, 130, 148, 155, 156, 158, 165, 166, 168, 177, 185, 192, 193, 206, 209, 212, 275, 277, 278, 280, 281, 284, 286, 289, 308-310, 315 CMP Tools 10, 11 CMP Variables and Manipulations 36 Complexing Agent 115,211,226,230,233,236,238 Complexing Agents 38,42,212 Cook’s Model 150 Copper CMP 12, 63,98, 114, 181, 192, 194,209,210, 212,225, 238,240,243, 284,286, 287, 290 Corrosion Potential Measurements 99 Damascene 2, 4, 8, 181, 278 Deep Trench Capacitor 270, 273 Defect Generation 289, 290
Defects 9, 37, 38, 43, 168, 171, 173, 186, 205, 271-273, 284, 288, 290, 292, 298, 302 Definition 10, 56, 190 Disadvantages of CMP 38 Dishing 45, 118, 119, 185, 186, 188-192, 209, 213, 218, 221, 222, 223, 254-263, 266, 267, 278, 313, 314, 315 Dissolution of Material 210 Double Layers 58 Dry Etching 3, 4
INDEX
ECR Deposition 32 Elasticity 68, 260 Electrochemical Phenomena 48, 84,281 Electrochemical Series 87, 88 Electrochemistry 61, 84, 87, 278,279 Electron Cyclotron Resonance 31 Electrostatic Double Layer 294, 296 Electrostatic Forces 292 Erosion 8, 45, 86, 130, 186, 188-190, 192, 209, 254-259, 261-267, 274, 315 Film Hardness 38, 48 Film Microstructure 38,48 Film Stack 38, 39,47 Film Stress 38, 47, 252, 254 Fluid Based Wear 160 Fluid Boundary Layer 56, 120 Fluid Layer Interactions 51 Front-End Applications 270 Global Planarity 25, 28, 34, 37, 38, 171, 181, 185, 206, 286, 287 Global Planarization 2-4, 27, 28, 30, 34, 37, 171, 269, 278, 306, 309,315 Hardness 38, 43, 44,46, 48, 66, 67, 107, 122, 124, 128, 131, 132, 133, 135, 139, 142, 176, 209, 243, 245, 247, 259, 266, 278, 279, 282, 284 Hertzian Indentation 62, 64,65, 160, 165 HNO, Slurries 218 IC Manufacturing 12, 21, 23, 131, 167 IC Metallization 19 ICs 2, 15, 34, 270, 279,287, 307,315 In Practice 12, 112, 147, 212 Inlaid Metal 2, 181-184, 192, 206, 222, 271, 282, 287, 290
319
320
INDEX
nput Variables 36, 38, 40, 41, 45 herconnects 306 hterlayer Dielectrics 40, 41 :ntrduction 1,40,41, 126, 168,251 R 137, 138 :soelectric Point 38, 42, 43, 120, 124, 142, 152 ,OCOS 274, 315 ,ow Dielectric Constant ILD 15, 19,22 ,ow Resistivity Metals 12,21 Manufacturing 12, 21, 23, 38, 39, 130, 131, 148, 165, 167, 178, 304 Mechanical Abrasion 43, 45, 52, 61, 86, 124, 168, 173, 188, 193, 194, 206, 210, 213, 214, 221, 227,230, 236,240, 241, 244,251,278 Mechanical and Electrochemical Concepts for CMP 48 Mechanisms 12, 62, 84, 122, 123, 129-131, 140, 160, 163, 173, 176, 177, 181, 192, 195, 209, 210, 21 1, 226, 259, 290, 302 Metal CMP 12, 41, 60, 84, 86, 94, 185, 186, 192-194, 200,206, 287 Metal Shorts 186, 187 Metal Surface Films 60,61 Microcontamination 290, 302-305 Mixed Potential Theory 94,95,99 Model 39, 53, 55, 57, 82, 83, 150, 160-166, 176, 177, 192, 206, 209, 210, 222, 238, 240, 242, 244, 260, 261, 307,308, 310 Modes of Abrasion 62-64 Multilevel Metallization 19, 23, 24, 39, 41, 180 Native Oxide 212 NH40H Slurries 114, 213, 218 Output Variables 39, 36, 38, 39, 45 Oxide CMP 12, 34, 129, 130, 145, 147, 148, 155, 156, 165, 166, 168, 176-178, 185, 186, 194, 195, 202, 206,275, 311
INDEX
Oxidizers 38,41, 202, 206, 212, 278, 299, 313 Pad Compressibility 46,261 Pad Conditioning 11, 47, 55, 83, 84, 149, 155, 157, 167, 245 Pad Deformation 156, 158 Pad Embossing 47 Pad Hardness 46, 67 Pad Materials and Properties 66 Pad Properties 8,49,66,67,84, 218 Pad Thickness 47, 260, 261 Pads 8, 11, 46, 66, 67, 70-74, 76, 82, 84, 105, 107, 108, 148, 156, 157, 176, 190, 206,245,247, 250,266, 280,281,310, 312 Particle Deposition 295-297 Particle Removal 300-302 Particulates 280, 304, 305 Parylene 282,284,286,288, 290,308,315 Pattern Geometries 3,38,40,45, 156 Pattern Geometry 38, 160,254 Pattern Geometry Effects 38, 160,254 Patterned Structures 117 pH 11, 38, 41, 43, 59, 90,92-94, 100, 101, 106, 119, 123, 124, 125, 140, 142, 145, 150-152, 169, 170, 193, 197, 204, 212, 218, 224, 229, 230, 231, 234, 235, 238, 278-280, 293, 294, 295,299,316 Physical Defects 290 Planarity Modeling 160, 179 Planarity Requirements for Multilevel Metallization 24 Planarization Schemes 16,28, 34,37 Polish Rates 30,39,43,65, 84, 104, 105, 107, 108, 120, 131, 140, 142, 145, 146, 155, 160, 177, 192, 202, 204, 206, 221, 245, 248, 254, 262, 264-266, 281, 282, 308, 311 Polish-Induced Stress 25 1 Polishing Pad 37, 45,48, 55, 65, 79, 80, 104, 156, 167, 169, 188, 193,204,255
321
322
INDEX
Polishing vs. Grinding 62 Polyimide 285-288,308 Polymers 6, 9, 12, 25, 76, 269, 278, 282, 283, 289, 299 Polysilicon CMP 270,274 Pore Size 38, 46, 155 Post-CMP Cleaning 7, 38,289,297,302, 303 Potentiodynamic Scans 197, 198, 200 Pourbaix Diagrams 90, 94, 98, 99, 278 Preston Coefficient 49, 50, 148, 244 Preston Equation 48,49, 68, 146, 148, 155, 161, 209, 244, 245, 251 Problem Sets 306 Process Integration 11, 12, 129 RC Delay 17, 22 Reaction Sequence 142, 144 Reduction-Oxidation Reactions 42, 86 Removal 4, 7, 9, 11, 35, 37-40, 42, 43, 45, 48, 62-66, 68, 79, 82, 84, 86, 107, 108, 113, 114, 116, 117, 118, 120, 123, 129, 137, 140, 141, 148, 150, 155, 157, 158, 160, 163, 164, 169, 173, 177, 182, 185-188, 192-194, 202, 209-211, 213, 220-222, 224, 225, 226, 236, 239-245, 248, 251, 254, 255, 257, 259, 262, 264, 266, 275, 280, 286, 288, 290, 292, 297, 298, 300-305, 314 Resistance 16, 19, 21, 38, 40,41, 93, 116, 131, 132, 171, 172, 185, 204, 270, 275, 277, 282, 307 RIE Etch Back 181-186,271,272, 275 Role of Chemistry 120, 130 Role of Chemistry in CMP 120 Role of Water 136 Selectivity 3, 8, 39, 107, 108, 120, 145-147, 150, 201, 202, 203, 204, 206, 266, 267, 275, 280, 281, 302, 314 Shallow Trench Isolation 6, 270, 274-276
INDEX
ield 4, 10, 19, 31, 40,47, 48, 53, 129, 141, 167, 168, 173, 174, 204,212,244, 267,289 ~ t Potential a 38,42, 123, 125, 169,293-297,316