DK543X_half 10/21/05 4:05 PM Page 1
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
DK543X_ti...
208 downloads
1945 Views
19MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
DK543X_half 10/21/05 4:05 PM Page 1
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
DK543X_title 10/21/05 4:05 PM Page 1
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing edited by
Tadahiro Ohmi
Boca Raton London New York
A CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa plc.
DK543X_Discl.fm Page 1 Friday, September 2, 2005 11:41 AM
Published in 2006 by CRC Press Taylor & Francis Group 6000 Broken Sound Parkway NW, Suite 300 Boca Raton, FL 33487-2742 © 2006 by Taylor & Francis Group, LLC CRC Press is an imprint of Taylor & Francis Group No claim to original U.S. Government works Printed in the United States of America on acid-free paper 10 9 8 7 6 5 4 3 2 1 International Standard Book Number-10: 0-8493-3543-4 (Hardcover) International Standard Book Number-13: 978-0-8493-3543-3 (Hardcover) Library of Congress Card Number 2005024414 This book contains information obtained from authentic and highly regarded sources. Reprinted material is quoted with permission, and sources are indicated. A wide variety of references are listed. Reasonable efforts have been made to publish reliable data and information, but the author and the publisher cannot assume responsibility for the validity of all materials or for the consequences of their use. No part of this book may be reprinted, reproduced, transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopying, microfilming, and recording, or in any information storage or retrieval system, without written permission from the publishers. For permission to photocopy or use material electronically from this work, please access www.copyright.com (http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC) 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and registration for a variety of users. For organizations that have been granted a photocopy license by the CCC, a separate system of payment has been arranged. Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for identification and explanation without intent to infringe. Library of Congress Cataloging-in-Publication Data Scientific wet process technology for innovative LSI/FPD manufacturing / editor, Tadahiro Ohmi. p. cm. Includes bibliographical references and index. ISBN 0-8493-3543-4 (978-0-8493-3543-3 : alk. paper) 1. Semiconductors--Design and construction. 2. Semiconductors--Cleaning. 3. Integrated circuits-Design and construction. I. Ohmi, Tadahiro, 1939TK7871.85.S3965 2006 621.3815'2--dc22
2005024414
Visit the Taylor & Francis Web site at http://www.taylorandfrancis.com Taylor & Francis Group is the Academic Division of T&F Informa plc.
and the CRC Press Web site at http://www.crcpress.com
Preface In 1965, Gordon E. Moore foretold that the number of transistors in integrated circuits would increase by a factor of 4 every 3 years. Over the past few decades, semiconductor technologies have steadily developed and have advanced the integration density of LSI devices in accordance with Moore’s law. This revolutionary achievement was made possible by improving device miniaturization technologies, such as photolithography technologies, various plasma processes, and so on. However, the current molecule reaction-based semiconductor manufacturing technologies are now facing great difficulty in achieving further device miniaturization. The present standstill in semiconductor technologies resulting from this has caused severe stagnation in industries all over the world. Microprocessors brought to the market in 1971 triggered a drastic change in industrial and social structure by bringing the so-called microelectronics revolution to all industries and social systems. Semiconductor technologies have supported the continuous progress of all industries in subsequent decades. The continuous development of semiconductor technologies must not be suspended if the healthy advancement of the world economy is to be maintained. Miniaturization in the critical dimensions of integrated circuits is accompanied by a decrease in thickness of the gate insulator films of MOS transistors. The biggest reason for the standstill in current semiconductor technologies is very large leakage current, and a significant increase in standby electric power consumptions of LSI devices up to a few tenths of watts. Large leakage currents have the following two constituents: (1) leakage current through the very thin gate insulator film (approaching 1 nm thickness) and (2) drain leakage current. More than 1 103 A/cm2 of leakage current is generated when a voltage of 1 V is applied to a current thermal-oxidationbased gate insulator film having a thickness of about 1 nm. Therefore, the current thermaloxidation-based gate insulator films can no longer serve as insulator films when their thickness decreases to around 1 nm. Meanwhile, in an attempt to improve the mobility of electrons and holes to enhance the speed performance of LSI devices, the semiconductor industry has introduced SiGe to MOS transistors, where the germanium concentration in SiGe is gradually increased to improve the mobility of the electrons and holes. This attempt is theoretically incorrect. It is very well known that the bandgaps of Si and Ge are 1.12 and 0.69 eV, respectively, resulting in a huge difference in the leakage currents in the reverse direction of the pn diodes of Si and Ge by a factor of 2.8 104 at room temperature. Thus, the increase in germanium concentration in the SiGe of MOS transistors is inevitably accompanied by a drastic increase in the drain leakage current of 2 to 3 orders of magnitude. The author has developed radical-reaction-based semiconductor manufacturing using microwave-excited very low-electron-temperature high-density plasma equipment instead of the current molecule reaction-based semiconductor manufacturing in order to overcome the difficulties that limit the progress of semiconductor technologies. Current plasma equipment cannot be used for transistor fabrication, but it can be applied to interconnect fabrications. This is because of the major disadvantages of using the current plasma equipment, such as severe metallic contamination on the substrate surface by high-energy ion bombardment at the inner surface of the process chamber, severe damage to the substrate surface by high-energy ion bombardment, severe charge-up damage due to the residual electric charges on the substrate surface just after turning off the plasma, the charges induced by secondary electron emission caused by high-energy ion bombardment, and so on.
It has been established that the newly developed microwave-excited high-density plasma having very low electron temperatures overcomes all these disadvantages of the current plasmaprocessing equipment, and can be applied to transistor fabrication, including gate insulator formation. High-density plasma is excited by circularly polarized microwaves with a frequency of 2.45 GHz in the region of around 10 to 20 mm under the ceramic shower plate. This is carried out in order to introduce the flow of plasma excitation gas and radical generation gas onto the substrate surface in a uniform manner. In the newly developed high-density plasma, there exists a plasma diffusion region just under this plasma excitation region. This region is characterized by very low electron temperatures, for example, 1.0 eV for Ar gas, 0.7 eV for Kr gas, and 0.5 eV for Xe gas. Thus the bombarding ion energies are less than the critical values that would cause metal sputtering and substrate surface damage. Si substrates are set in this diffusion plasma region where an electron current and an ion current flowing onto the substrate surface are equal with each other at any instant, so that a surface electric charge does not remain even after the plasma is turned off. This means that the most severe disadvantages, that is, charge-up damage and high-energy ion bombardment-induced damage, are essentially eliminated. The introduction of this new plasma processing, free of charge-up damage, brings about a drastic change in circuit layout pattern regulation, that is, a very limited antenna ratio, which is defined as the ratio of the area of an interconnect of the gate electrode of a MOS transistor with the area of the gate electrode. At present, the antenna ratio of circuit layout patterns of LSI devices is strictly limited to less than 100 to 200, in order to obtain reasonable manufacturing yields. When circuit layout patterns having very high antenna ratios such as 106 are designed in LSI chips, all the chips on the Si substrates suffer fatal charge-up damage when using the current plasma processing, resulting in zero percent yield. The number of plasma processing stages throughout the entire LSI manufacturing process is up to several tens, so the antenna ratio in the circuit layout pattern is strictly limited to less than 100 to 200 at present. The newly developed plasma processing, free of charge-up damage, is the microwave-excited high-density plasma, which has very low electron temperatures and enables realization of LSI of any circuit layout pattern having arbitrary antenna ratios. Various reactive radicals (such as oxygen radicals O† and NH† radicals) generated in the plasma excitation region diffuse to the Si substrate surface and form very high-integrity SiO2 and Si3N4 films on any crystal orientation Si substrate surface at low temperatures (such as 400 to 6008C). The leakage current through this radical-reaction-based gate insulator film has been confirmed to be smaller by a factor of 3 orders of magnitude compared with that of the current molecule reaction-based gate insulator film. The stagnation of current Si technologies resulting from the existence of very large leakage currents through the gate insulator has thus been completely overcome by introducing these radical-reaction-based gate insulators. Moreover, the introduction of a radical-reaction-based Si3N4 film to the gate insulator improves the mobility of electrons and holes by a factor of at least 2 compared with that of the current thermal oxide MOS transistor. It is therefore not necessary to introduce a SiGe region to MOS transistors in order to improve the speed performance of LSI. Any difficulties arising from very large drain leakage currents are completely overcome by introducing this radical-reaction-based Si3N4 gate insulator. The flicker noise component, that is, 1/f noise, is also decreased by the introduction of radical-reaction-based gate insulators, by a factor of 2 orders of magnitude. This is very important to the future progress of LSI device miniaturization, while accompanied by a gradual decrease in supply and signal voltages and without introduction of operation errors of the devices. Whereas the current molecule reaction-based thermal oxidation can produce relatively high-integrity SiO2 films only on (1 0 0) Si surface orientations, the radical-reaction-based direct oxidation and direct nitridation have been proven to produce very high-integrity insulator films
on any crystal orientation of Si substrate surface. Thus, LSI device fabrication by the current molecule reaction-based semiconductor manufacturing process is limited only to the (1 0 0) Si surface and the structure of MOS transistors is limited to the two-dimensional planar structure. As a result, only a small part of the capabilities of the Si material has been used for practical applications so far. On the other hand, the radical-reaction-based semiconductor manufacturing process has been shown to utilize almost all of the Si material capabilities, for example, by LSI device fabrication any crystal orientation of the Si substrate surface and in 3-dimensional MOS transistors. The electric current drivability of pMOS transistors fabricated on a (1 1 0) Si substrate surface with k1 1 0l direction is 3 times larger than that of a pMOS transistor on a (1 0 0) Si surface, resulting in an improvement of CMOS speed performance by a factor of 2. Dual-shower plate microwave-excited high-density plasma processing has been developed simultaneously for application to various plasma CVD film formations and various material pattern etchings. In this method, the lower shower plate, supplying source gases for film formation and pattern etchings, is introduced to the diffusion plasma region described previously. The plasma potential in the plasma diffusion region may be limited to less than 10 V, at most, due to the very low electron temperatures. This completely eliminates the sputtering of the surface of the lower shower plate due to ion bombardment. Source material gases are supplied to the plasma diffusion region, which has very low electron temperatures, and not to the plasma excitation region, which has relatively high electron temperatures. This arrangement ensures that the source material gas molecules are not decomposed so much. In other words, the source material gas molecules can be supplied even to the bottom of the contact and the through holes, however narrow the diameter and however deep, resulting in the realization of processing of any narrow contact, through holes etchings, and film depositions at a constant process speed. This process, which is free of the microloading effect, indicates that the speed of processes such as etching rate and film-deposition rate are independent of the pattern size (for example, from 10 mm to 25 nm). Current semiconductor equipment commonly exhibits very severe microloading effects, that is, the equipment can be applied to 100-nm generation LSI fabrication, but not to 65-nm generation LSI fabrication. Thus, a huge amount of investment is continuously required for new generation LSI fabrication. The new radical-reaction-based semiconductor equipment, such as the microwave-excited high-density plasma with single and dual-shower plate structures, can be used continuously for all LSI generations, to 25-nm. This is a revolutionary change in semiconductor manufacturing. It is well known that current plasma equipment for plasma CVD and RIE cannot maintain plasma uniformity on the entire Si substrate surface for different gas pressures, different gas combinations and concentrations, different substrate electrode self-biases, and different Si substrate surfaces (different surface materials and different surface patterns). In such equipment, plasma uniformity on the entire Si substrate surface has to be realized by tuning various equipment parameters, which is quite tedious and time-consuming. Therefore, the current semiconductormanufacturing technologies work very effectively only for very large volume production of a small variety of LSI devices. The key business area in the field of electronics is rapidly becoming digital consumer electronics rather than of conventional personal-computer-oriented business, which requires only very large-volume production of microprocessors and DRAMs. Digital electronics, however, requires a very wide variety of LSIs with very small volume production. The new microwaveexcited high-density plasma with single- and dual-shower plate structures maintains plasma uniformity on the entire substrate surface even under widely varying operation parameters such as gas working pressure, gas combinations and concentrations, self-biases of the substrate electrode, and substrate surface patterns and materials. Thus, Si substrates of any kind and structure can be continuously processed at optimum conditions for each individual Si substrate without tuning the various equipment parameters and structures.
The new radical-reaction-based semiconductor manufacturing using microwave-excited high-density plasma will realize the production of a wide variety of LSI devices in very small volumes, as is required in the digital consumer electronics era, in keeping with its very high productivity. The semiconductor industry is now facing a real revolution. The present Radical-Reaction-Based Semiconductor-Manufacturing Series describes all these newly developed technologies in a very academic manner. Tadahiro Ohmi
About the Editor Tadahiro Ohmi was born in Tokyo, Japan, in 1939. He earned his B.S., M.S., and Ph.D. degrees in electrical engineering from Tokyo Institute of Technology, Tokyo, in 1961, 1963, and 1966, respectively. Prior to 1972, he served as a research associate in the Department of Electronics of Tokyo Institute of Technology, where he worked on Gunn diodes such as velocity overshoot phenomena, multi-valley diffusion and frequency limitation of negative differential mobility due to an electron transfer in the multi-valleys, high-field transport in semiconductor such as unified theory of space – charge dynamics in negative differential mobility materials, Blochoscillation-induced negative mobility and Bloch oscillators, and dynamics in injection lasers. In 1972, he moved to Tohoku University and is now a professor at the New Industry Creation Hatchery Center (NICHe), Tohoku University. He is currently engaged in research on highperformance ULSI free from gate and drain leakage currents, threshold voltage fluctuations of MOS transistors and 1/f noises such as ultra-high-speed ULSI by introducing directly nitrided Si3N4 gate insulation as a high-K gate dielectric, nonporous fluorocarbon film having dielectric constant less than 1.90 as an inter-metallic dielectric and Si (110) surface, and metal substrate SOI, ULSI and large size flat-panel display, and advanced semiconductor and FPD process technologies by developing radical-reaction-based semiconductor and FPD manufacturing due to microwave-excited very low electron temperature high-density plasma free from charge-up damages and bombarding ion-induced damages. Dr. Ohmi’s research activities include 2000 original papers and 1800 patent applications. He received the Ichimura Award in 1979, the Inoue Harushige Award in 1989, the best paper award of IEEE Transactions on Semiconductor Manufacturing in 1989, the Ichimura Prizes in Industry-Meritorious Achievement Prize in 1990, the Okouchi Memorial Technology Prize in 1991, the Minister of State for Science and Technology Award for the promotion of invention in 1993, the Invention Prize and 4th International Conference on Soft Computing (IIZKA’96) Best Paper Award in 1996, the IEICE Achievement Award in 1997, the Werner Kerm Award in 2001, ECS Electronics Division Award in 2003, the Medal with Purple Ribbon from the government of Japan in 2003, and the Best Collaboration Award (the Prime Minister’s Award) in 2003. Dr. Ohmi is a member of the Institute of Electronics, Information and Communication Engineers of Japan (fellow), the Japan Society of Applied Physics, the ECS, and the IEEE (fellow).
Contributors Nobukazu Ikeda Fujikin Incorporated Osaka, Japan
Masaaki Nagase Fujikin Incorporated Osaka, Japan
Takashi Imaoka ORGANO Corporation Tokyo, Japan
Koji Nishino Fujikin Incorporated Osaka, Japan
Nobuhiko Inoue Oki Electric Industry Corporation Tokyo, Japan
Tadahiro Ohmi New Industry Creation Hatchery Center Tohoku University Sendai, Japan
Hiroto Izumi Stella Chemifa Corporation Osaka, Japan Hirohisa Kikuyama Stella Chemifa Corporation Osaka, Japan Masafumi Kitano New Industry Creation Hatchery Center Tohoku University Sendai, Japan Kenichi Mitsumori Alps Electric Co., Ltd. Sendai, Japan
Senri Ojima Nomura Micro Science Co., Ltd. Kanagawa, Japan Hiroshi Sugawara ORGANO Corporation Tokyo, Japan Jun Takano Stella Chemifa Corporation Osaka, Japan
Masayuki Miyashita Stella Chemifa Corporation Osaka, Japan
Akinobu Teramoto New Industry Creation Hatchery Center Tohoku University Sendai, Japan
Hitoshi Morinaga New Industry Creation Hatchery Center Tohoku University Sendai, Japan
Tatsuhiro Yabune Stella Chemifa Corporation Osaka, Japan
Hiroshi Morita Kurita Water Industries Ltd. Tokyo, Japan
Ikunori Yokoi Kurita Water Industries Ltd. Tokyo, Japan
Contents Chapter 1
Surface Chemical Electronics at the Semiconductor Surface
... 1
Tadahiro Ohmi Chapter 2
Principles of Semiconductor Device Wet Cleaning
. . . . . . . . . 35
Hitoshi Morinaga Chapter 3
High-Performance Wet Cleaning Technology . . . . . . . . . . . . . 61
Hiroshi Morita, Akinobu Teramoto, Hitoshi Morinaga, Senri Ojima, and Kenichi Mitsumori Chapter 4
Etching of Various SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . .
153
Tatsuhiro Yabune, Masayuki Miyashita, Hirohisa Kikuyama, Jun Takano, and Akinobu Teramoto Chapter 5
Silicon Etching
..................................
251
Kenichi Mitsumori and Nobuhiko Inoue Chapter 6
Chemical Composition Control Technology
.............
271
Tatsuhiro Yabune, Masayuki Miyashita, Hirohisa Kikuyama, and Jun Takano Chapter 7
Wet Vapor Resist Stripping Technology . . . . . . . . . . . . . . . .
285
Senri Ojima and Tadahiro Ohmi Chapter 8
Antistatic Technology
.............................
293
Kenichi Mitsumori and Takashi Imaoka Chapter 9
Chemical Waste Reclamation Technology
..............
315
Advanced Ultrapure Water and Liquid Chemical Supply System and Materials for Fluctuation-Free Facility . . . . . . .
331
Hiroshi Sugawara and Takashi Imaoka Chapter 10
Ikunori Yokoi, Masaaki Nagase, Koji Nishino, Nobukazu Ikeda, Masafumi Kitano, Hiroto Izumi, and Tadahiro Ohmi Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
379
1
Surface Chemical Electronics at the Semiconductor Surface Tadahiro Ohmi New Industry Creation Hatchery Center, Tohoku University, Sendai, Japan
CONTENTS I. Hydrogen Atomic Model and Molecular Bond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Behavior of Molecules Adsorbed on the Si Surface: Taking a SiH4-Type Molecule as an Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . III. Electronegativity and Energy Level: Change in State of Electrons on Surface due to Terminating Atoms . . . . . . . . . . . . . . . . . . . . . . . . . . IV. Oxidation of Si Surface at Room Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Selective W Film Deposition by Means of (SiH4 þ WF6) . . . . . . . . . . . . . . . . . . . . . VI. Redox Potential and Energy Level of Liquid Solution — Native Oxide Formation on the Si Surface and Metal Contaminant Adhesion and Removal with Solution . . VII. New Era of Si Technology — (1 1 0) Si Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . VIII. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1 4 9 15 17 18 23 31 33
I. HYDROGEN ATOMIC MODEL AND MOLECULAR BOND The author describes molecular behavior in many aspects by means of the hydrogen atomic model, as there is no general theoretical equation of molecular bond energy. The energy of an electron (1n) and the Bohr radius of the first orbit (aB) in this model are expressed, when the principal quantum number of n is used, as 1n ¼
me4 1 13:6 ¼ 2 (eV) n 2(4p10 )2 h2 n2
(1:1)
aB ¼
(4p10 )2 h2 ¼ 0:053 nm me2
(1:2)
where m, e, 10 and h ¼ 2ph are, respectively, the mass of the electron, unit charge, the dielectric constant of vacuum, and Planck’s constant. The energy level is determined by the Coulomb force between positive and negative charges. This energy is inversely proportional to the squared dielectric constant and directly proportional to the mass. Si is a tetravalent monatomic crystal. When phosphor (P) or arsenic (As), either of which is a pentavalent atom, is doped into Si, one electron of P or As does not contribute to the covalent bond of Si. The energy (1n ) to excite this electron to the conduction band, which is called impurity level 1
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
2
(energy level from the bottom of the conduction band), is given by the hydrogen atomic model as follows: 1n ¼ 13:6
m (eV) m12Si
(1:3)
where m and 1Si are the effective mass of the electron in the conduction band and the relative dielectric constant of Si, respectively. When 0.19m and 11.8 are substituted for m and 1Si , respectively, 1n , is calculated to be 217 meV. This indicates that electrons at impurity level can be easily excited to the conduction band with the thermal energy of 26 meV at room temperature. A radius of circular orbit, which the electron at impurity level forms around the doped impurity atom in Si (Bohr radius), aB, is also changed from 0.053 to 3.3 nm in accordance with the following equation: aB ¼ 0:053
m1Si (nm) m
(1:4)
As the doping density of an impurity atom such as As, P, and B exceeds 1 1018 cm23, the average interatomic distance of neighboring impurity atoms gets smaller than 10 nm. Accordingly, the wave function of electrons circulating around the impurity atoms comes to overlap each other. As a result, the impurity level is changed to the impurity band. This is a typical example that the energy level and the bond energy of atoms or molecules show a drastic change due to the dielectric constant of the environment where they exist. HF, HCl, and HBr are often used in the semiconductor-manufacturing process both in gaseous and liquid phases. They are hydrides of halogen-type elements of fluorine, chlorine, and bromine. Table 1.1 shows their bond energy and typical properties. Table 1.1 also shows properties of H2O, hydride of oxygen. HF and H2O featuring high dipole moment are in the liquid state in the wide range of temperature up to 1008C, and so, they feature extremely high dielectric constants. The electronegativity of fluorine and oxygen is extremely high: 4.0 and 3.5, respectively, compared with that of hydrogen (2.1). HF and H2O are electrically neutral as a molecule, therefore, electrons gather on the side of fluorine and oxygen atoms, respectively. This raises a dipole moment of HF and H2O, which lets them easily form clustered molecules. This is why they stay in liquid phase during a wide temperature range. Table 1.2 shows the electronegativity and the energy level of H, O, N, F, Cl, Br, and Si, calculated on the basis of their vacuum level. The relationship between
TABLE 1.1 Bond Dissociation Energy (Homolysis and Heterolysis) and Typical Properties of Hydrogen Halides (HF, HCl, HBr) and H2O Bond Energy Bond Energy Melting Point Boiling Dipole Ionization Dielectric (Homolysis) (eV) (Heterolysis) (eV) (8C) Point (8C) Moment (DU) Potential (eV) Constant HF HCl HBr H2 O
5.8 4.4 3.8 4.8
16.0 14.4 14.0 16.9
283 2114 289 0
19.5 285 267 100
1.83 1.11 0.83 1.94
16.1 12.8 11.7 12.6
83 12 7 81
Note: Dipole moment: 1 DU ¼ 3.3356 10230 cm) Homolysis bond dissociation: HF ! H þ F Heterolysis bond dissociation: HF ! Hþ þ F .
Surface Chemical Electronics at the Semiconductor Surface
3
TABLE 1.2 Electronegativity (Energy Level) and Typical Properties of Various Atoms such as H, O, N, F, Cl, Br, and Si which are Widely Used in Semiconductor Manufacturing
Atomic number Mass number Group Electronegativity Energy level (eV) Ionization potential (eV)
H
O
N
F
Cl
Br
Si
1 1.01 Ia 2.1 25.11 13.6
8 16.01 VIb 3.5 28.29 13.6
7 14.10 Vb 3.0 27.15 14.5
9 19.00 VIIb 4.0 29.42 17.4
17 35.45 VIIb 3.0 27.15 13.0
35 79.90 VIIb 2.8 26.70 11.8
14 28.09 IVb 1.8 24.43 8.15
electronegativity (X) and energy level (1) is expressed as [1]: 1 ¼ (2:27X þ 0:34) (eV)
(1:5)
Table 1.2 also shows the ionization energy of each atom which corresponds to the energy level of electron at the ground state. As shown in Table 1.1, HF, HCl, and HBr feature extremely high bond energies of 5.8, 4.4, and 3.8 eV, respectively. They, therefore, never get decomposed with thermal energy of 0.026 eV at room temperature in gaseous phase. In other words, HF, HCl, and HBr are extremely stable gas molecules in gaseous phase. As shown in Table 1.2, the electronegativity of F, Cl, and Br is 4.0, 3.0, and 2.8, respectively, whereas that of H is 2.1. When converted from electronegativity by means of Equation (1.5), the energy level based on the vacuum level of F, Cl, and Br is 29.42, 27.15, and 26.70 eV, respectively, which is much lower than that of H of 25.11 eV. When a molecular bond is formed between H and F, H and Cl, or H and Br, the molecule is electrically neutral as a whole, but electrons get locally concentrated on the side of F, Cl, or Br. So in practice, H is positively charged that makes the bond like ionic bond. HF features a high dipole moment, as the electronegativity gap of its component atoms is the biggest and the local concentration of electrons is remarkable. When two atoms form a molecule in an ionic-bond-like way, the electric force lines to connect positive and negative charges are distributed widely in adjacent region, and the molecule is affected more by the dielectric constant of the surrounding medium. If it is assumed, as shown in Equation (1.1), that the bond energy of this molecule is inversely proportional to the squared dielectric constant, then the bond energy of the molecule, which is dissolved in water, is lowered by the high dielectric constant of water (relative dielectric constant of 81). Specifically, the bond energy of HF, HCl, and HBr, when dissolved in water, decreases to 2.5, 2.25, and 2.19 meV, respectively. So all these three molecules are most likely to be well decomposed and dissociated to ions such as Hþ, F2, Cl2, and Br2 even with the thermal energy of 26 meV at room temperature. HCl and HBr are completely dissociated in water. In contrast, HF gets only partially dissociated when its concentration is fairly high (over 1023 mol/kg). Some F2 ions generated in HF dissociation (HF ! Hþ þ F ) get bound with neutral HF molecules to form HF22 ions which have been revealed as dominant ions to etch SiO2 film [2,3]. This fact suggests that the bond energy of molecule is actually not simply inversely proportional to the squared dielectric constant. H2, N2, O2, F2, Cl2, and Br2 comprise two exactly identical atoms, which make them different from those molecules that comprise two atoms featuring different electronegativity. In this case, therefore, localization of electron concentration to result in polarity does not occur. In other words, these molecules feature a covalent bond. External extension of their electric force lines is limited, and they are hardly affected by dielectric constant of the surrounding medium. Table 1.3 shows the bond energy and ionization energy of H2, O2, N2, F2, Cl2, and Br2, in which negatively charged
4
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
TABLE 1.3 Bond Dissociation Energy (Homolysis) and Ionization Potential of Molecules such as H2, O2, N2, F2, Cl2, and Br2
Bond energy (eV) Ionization potential (eV)
H2
O2
N2
F2
Cl2
Br2
4.49 15.4
5.13 12.1
9.51 15.6
1.61 15.7
2.49 11.6
1.98 10.5
electrons attract positively charged atoms by means of Coulomb force. Even when H2, N2, or O2 molecule get dissolved in water, they are not however decomposed or dissociated. The ionization energy of the H2 molecule is about 15.4 eV in gaseous phase. A redox potential of 0 V is defined as the energy required to ionize H2 into 2Hþ and 2e2 in ultrapure water. It is equal to an energy level of 24.44 eV. Even in the case of molecules with covalent bond, bond energy and ionization energy get lower when being in media with high dielectric constants. Various active species, which are never generated in gaseous phase, can be easily generated at room temperature in liquid solutions having very high dielectric constant. This is why various reactions can take place in water solutions at room temperature as relative dielectric constant of water is extremely high at 81. The wet process, therefore, will remain remarkably important for the Si technology even in the future.
II. BEHAVIOR OF MOLECULES ADSORBED ON THE Si SURFACE: TAKING A SiH4-TYPE MOLECULE AS AN EXAMPLE If it is assumed that the bond energy of a molecule is inversely proportional to the squared dielectric constant of the medium where the molecule exists, then the binding state of the molecule and the energy state of electrons must differ greatly between when the molecule is isolated in gaseous phase and when the molecule is adsorbed onto solid surface. Let us take the Si surface as an example, where the relative dielectric constant of Si is 11.8. Let us assume, as shown in Figure 1.1, that molecule AB comprising atoms A and B gets adsorbed on the solid surface (the Si surface) from the gaseous phase. Owing to interaction
A
Gas Phase
A
B
B
Solid Si
ε
Si
FIGURE 1.1 Schematic sketch of a molecule (AB) comprising atoms A and B adsorbed on the solid surface (Si), where the bond of the molecule is affected by the solid dielectric constant in addition to the gas-phase dielectric constant.
Surface Chemical Electronics at the Semiconductor Surface
5
between the wave function of electrons in molecule AB and that of electrons in the vicinity of the Si surface, the state of electrons must become very different when molecule AB is adsorbed from when it is isolated in the gaseous phase. Let us limit our discussion to difference in dielectric constant. Molecule AB in the gaseous phase is subjected to relative dielectric constant of 1. When it is adsorbed onto the Si surface, it is subjected to apparent relative dielectric constant of 1eff which is expressed as 1eff ¼
1 þ g1Si 1þg
(1:6)
where g is an influence coefficient of Si on adsorbed molecule and 1Si the relative dielectric constant of Si. As a result, the bond energy of molecule AB and energy level of electrons must be decreased by a factor of 1 1þg 2 ¼ (1:7) 1 þ g1Si 12eff Meanwhile, interaction between various Si surfaces and SiH4-type gas molecules is studied [4,5]. A perfectly closed reaction system is prepared for this experiment by using a Hastelloy tube reactor of 1/2 in. in diameter and 40 cm in length. SiH4 gas is introduced into this reactor. SiH4 gas contains residual impurities of moisture of several parts per billion (ppb) [6] and siloxane of 5 ppb. The gas-delivery system is made up of all-metal Cr2O3-passivated stainless steel pipes which are characterized by complete chemical stability for various specialty gases [7]. No contamination is introduced from this gas-delivery piping system. Three types of Si surfaces, that is nondoped surface, nþ surface, and pþ surface, are prepared on the inner surface of the tube reactor by means of the thermal CVD method with gases SiH4, SiH4 þ PH3, and SiH4 þ B2H6. Every deposited Si thin-film features (1 1 1) orientation. A predetermined gas is continuously introduced into the tube reactor with its inner surface covered with a predetermined silicon thin film, whereas the inner surface is not at all exposed to the air. Gas components produced as a result of interaction between the three different silicon surfaces such as nondoped, nþ, and pþ and the introduced gases are evaluated with the infrared Fourier transform spectrometer (FT-IR) or with the gas chromatography when they come out from the outlet of the reactor tube. The decomposition reaction induced by the interaction between SiH4 gas and various Si surfaces is assumed to be first-order reaction for the purpose of simplifying discussion. The reaction formula is as follows: b SiH4 ¼ Si(sol) þ aH(ad) þ H2 (gas) (1:8) 2 d½SiH4 ¼ K ½SiH4 (1:9) dt where a þ b ¼ 4 and K is the rate constant. The rate constant (K) is usually expressed as K ¼ A eEa =kT
(1:10)
where A is an oscillation factor and Ea an activation energy. The SiH4 concentration at the outlet of the tube reactor ([SiH4]t0) can be expressed as ½SiH4 t0 ¼ ½SiH4 0 ekt0 where t0 is the residence time of SiH4 in the tube reactor.
(1:11)
6
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
[SiH4]0 stands for the initial concentration of SiH4 which is introduced into the tube reactor. SiH4 concentration decreases in an exponential manner against the residence time t0. Experimental results demonstrate that the first-order reaction (Equation (1.8) and Equation (1.9)) is approximately correct [8,9]. The rate constant K of the SiH4 decomposition obtained from the experiments depends on the SiH4 concentration in Ar or N2 carrier gas: it decreases as SiH4 concentration rises. This is because hydrogen atoms generated in SiH4 decomposition terminate the Si surface. Figure 1.2 shows the rate constant K as a function of an inverse of temperature when an initial SiH4 concentration in Ar gas is set at 100 ppm. The surface to be interacted with SiH4 gas are hydrogen-terminated nondoped Si, that is nþ Si, which is scarcely terminated with hydrogen, and pþ Si featuring a boron concentration of 1 1020 cm23. The pþ Si film is annealed at 6008C in an Ar gas ambience for a long time, whereas the nþ Si film is treated at 8508C in an Ar gas ambience. Terminating hydrogen, therefore, is almost removed from these two surfaces. The SiH4 decomposition on the nondoped Si surface and nþ Si surface features almost the same rate constant. On the pþ Si surface, SiH4 gas starts to decompose even at extremely low temperatures of 90 – 1008C. On the nondoped Si surface and the nþ Si surface, however, the SiH4 decomposition is detected only when the temperature exceeds 330 – 3408C. The reaction product of SiH4 decomposition on the pþ Si surface is only H2, which is also applied to the SiH4 decomposition on the nondoped Si surface and the nþ Si surface. The activation energy is found to be 0.3 eV which is obtained from the Arrhenius plot to show the rate constant of SiH4 decomposition induced by interaction with the pþ Si surface in the temperature range 90– 2008C. Around 4008C, the rate constant of SiH4 decomposition on the pþ Si surface gradually gets closer to that
Activation Energy T (°C) 500 400 300
200
100 p+ Si
10 0
Rate Constant, k [10−3/sec]
non-dope Si n+ Si 10
1
Ea=0.3 eV
Ea=1.45 eV 0.1 Ea=2.0 eV
0 .0 1 1
1.5
2
2 .5
3
1000/T [1/K ]
FIGURE 1.2 Rate constant of SiH4 decomposition at the surface of nondoped Si, nþ Si, and pþ Si is plotted as a function of an inverse temperature, where the rate constant is measured by using a tube reactor of 1/2 in. in diameter and 40 cm of length.
Surface Chemical Electronics at the Semiconductor Surface
7
on the nondoped surface and on the nþ Si surface. The following two facts suggest that the SiH4 decomposition process on the pþ Si surface is not induced by thermal energy but by a kind of catalytic effect of the pþ Si surface: 1. SiH4 gets decomposed at extremely low temperature only on the pþ Si surface. 2. Its activation energy is extremely low at 0.3 eV. SiH4 decomposition on the pþ Si surface at low temperature can be explained in the following way. The electron to bind Si and H atoms in a SiH4 molecule, isolated in gaseous phase, has an ionization energy of 212.8 eV, but this electronic energy level changes to 25.45 eV when the SiH4 molecule is adsorbed on the Si surface. The electron is recombined with a hole on the top of the valence band (25.15 eV) of the pþ Si surface when it gains thermal energy of 0.3 eV. As the electron which contributes to Si22H bond in the SiH4 molecule disappears due to recombination with the hole of the pþ Si surface, the Si22H bond can be dissociated at low temperature: SiH4 molecule decomposition hardly requires thermal energy in the process. The energy level of an electron to bind Si and H is different by as much as 6.2 eV between when the SiH4 molecule is isolated in gaseous phase (212.8 eV) and when SiH4 molecule is absorbed on the Si surface (25.45 eV) (Figure 1.3). In every gas molecule, its bond energy and the energy of its electron to contribute to the bond must get lower when it is absorbed onto the solid surface, due to the effect of dielectric constant of the solid surface, than when it is isolated in gaseous phase. It is essential to reveal not only the behavior of molecules of specialty gases in gaseous phase, but also the behavior of those adsorbed on the substrate surface to scientifically understand various processes such as chemical vapor deposition (CVD) and reactive ion etching (RIE). This type of investigation is indispensable to develop a perfect computer simulation of the semiconductor-manufacturing process. The SiH4 molecule decomposition characteristics are plotted as a function of the tube reactor temperature, as shown in Figure 1.4, where the gas flow rate is maintained at 20 cm3/min and the inner surface of the tube reactor is covered by nondoped Si, pþ Si, hydrogen-terminated Si, and SiO2. If low-temperature SiH4 decomposition on the pþ Si surface is attributed to effective dielectric constant as speculated from Equation (1.7), the experimental results give the following values for
=
FIGURE 1.3 Surface reaction model of adsorbed SiH4 molecule and pþ Si surface, where the energy level of the electron in SiH4 is changed from 212.8 to 25.45 eV by receiving an influence of the Si dielectric constant.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
8 150 SiH4 Concentration [ppm]
non-dope Si p+ Si
Si-H
SiO2
100
50
0 0
100
200
300
400
500
Temp [°C]
FIGURE 1.4 Temperature dependence of the SiH4 concentration in Ar gas at the outlet of the tube reactor of 1/2 in. in diameter and 40 cm in length where the gas flow rate is 20 cm3/min and the temperature is increased with 18C/min. The inner surface of the tube reactor is covered by nondoped Si, hydrogen-terminated Si, pþ Si, and SiO2.
1eff and g of Equation (1.7):
1þg 2 12:8 ¼ 5:45 ) 1eff ¼ 1:53, 1 þ g1Si
g ¼ 0:052
(1:12)
It is found that the molecule adsorbed on the Si surface is subjected to an effective dielectric constant of 1.53. Figure 1.5 and Figure 1.6 show the Arrhenius plot of the rate constant when Si2H6 and Si3H8 interact with the nondoped Si surface and the pþ Si surface. Concentration of both Si2H6 and Si3H8 in Ar gas is set at 100 ppm in these experiments. In the reaction with the nondoped Si surface around 4008C, as shown in Figure 1.7, the rate constant gets higher in the order of SiH4, Si2H6, and Si3H8. In the low-temperature decomposition triggered by interaction with the pþ Si surface, however, the rate constant and the activation energy of SiH4, Si2H6, and Si3H8 are almost the same. This indicates that a portion of SiH3 in Si2H6 and Si3H8 is absorbed on the Si surface, as shown in Figure 1.8. Interaction between various metal surfaces and SiH4 molecule is also studied. In this experiment, SiH4 at a concentration of 100 ppm is introduced at flow rate of 26.3 cm3/min into various metal tube reactors featuring a diameter of 1/4 in. and length of 1 m. Four different metal tube reactors such as 100% Ni, Hastelloy (Ni: 50%), SUS316L-EP (Electro-polished) (Ni: 12%), and Cr2O3-passivated stainless steel are used. Ar gas is used as a carrier gas. When SiH4 is introduced, the temperature of the tube reactors is raised at a rate of 0.338C/ min. Figure 1.9 shows the SiH4 concentration detected at an outlet of the tube reactors. The SiH4 decomposition characteristics of SiH4 totally depend on the metal surface which it interacts with, when the SiH4 concentration is set at the same level. The temperature to trigger SiH4 molecule decomposition gets lower as the mixing ratio of Ni is raised. This indicates that Ni features very strong catalytic effects toward SiH4 molecule decomposition. This is considered because the metal surface containing more Ni is harder to be oxidized even when it is exposed to the air.
Surface Chemical Electronics at the Semiconductor Surface
9
Activation Energy T (°C) 500 400 300
200
100 p+ Si
10 0
Rate Constant, k [10−3/sec]
non-dope Si
10
1 Ea=0.20 eV
0.1
Ea=2.15 eV
0 .0 1 1
1.5
2
2 .5
3
1000/T [1/K]
FIGURE 1.5 The temperature dependence of the rate constant of Si2H6 molecule decomposition at nondoped Si and pþ Si surface obtained in the same procedure as in Figure 1.2.
III. ELECTRONEGATIVITY AND ENERGY LEVEL: CHANGE IN STATE OF ELECTRONS ON SURFACE DUE TO TERMINATING ATOMS The concept of electronegativity is often used when atoms are studied in chemistry. Study of metal contamination on the Si surface in liquid solutions has revealed that those metal ions which feature higher electronegativity than Si, such as Cu, Pd, Hg, Ag, Pt, and Au directly take electrons from Si surface to chemically bind with Si surface, and that it is extremely hard to remove them [10,11]. In contrast, other metals which feature lower electronegativity than Si, such as Fe, Ni, Cr, Na, Ca, and K, do not directly bind with Si surface, but they are included in native oxide or chemical oxide formed on the Si surface because they are easier to be oxidized than Si. These metals featuring lower electronegativity than Si can be easily removed with the diluted HF (DHF) treatment for oxide removal [10,11]. CuCl2, FeCl2, and NiCl2 of 3 ppb each are injected into 0.5% HF for contaminating Si surface with Cu, Fe, and Ni. Cu features higher electronegativity than Si whereas Fe and Ni feature lower electronegativity than Si. This DHF solution of 25 cm3 each is spread on the entire surface of 6-in. Si wafer surface which is displaced a little bit to concave shape by vacuum chuck. Then the DHF solution is evaporated with a halogen lamp irradiation to observe segregation of Cu, Fe, and Ni to the bare Si surface. Figure 1.10 shows Cu, Fe, and Ni contamination concentration profile on the entire Si wafer surface, where zero on the horizontal axis stands for the center of the wafer. As the DHF solution gets evaporated, its residual amount decreases, and its droplet gets smaller in diameter during shrinking toward the center of the Si wafer. Eventually droplet of DHF remaining at the center of the Si wafer is evaporated, and the entire Si wafer surface gets dried. No oxide exists
10
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Activation Energy T (°C) 400 300
200
100 p+ Si
10 0
Rate Constant, k [10−3/sec]
non-dope Si
10
1 Ea=0.13 eV Ea=2.11 eV
0.1
0 .0 1 1
1.5
2
2 .5
3
1000/T [1/K]
FIGURE 1.6 Temperature dependence of rate constant of the Si3H8 molecule decomposition at nondoped Si and pþ Si surface obtained in the same procedure as in Figure 1.2.
300
Activation Energy T (°C) 450 400 350
300
200
*
Rate Constant, k [10-3/sec]
100
2.11 eV
* 50
* 2.0 eV
2.15 eV
Si3H8
* *
SiH4 10 5
1 1.3
Si2H6
1.4
1.5
1.6
1.7
1.8
103/ T [K]
FIGURE 1.7 Temperature dependence of rate constants of SiH4, Si2H6, and Si3H8 molecules decomposition interacting with the nondoped Si surface.
Surface Chemical Electronics at the Semiconductor Surface
11
Si2H6
Si3H8
H H Si H H Si Si H H H H
H
H H Si Si H H H
H H
H
H
Si
Si H
H
Si
H
H
H
H
Si surface
Si surface
H
H
Si
Si H
H
FIGURE 1.8 Si2H6 and Si3H8 absorption model on the Si surface.
on the Si surface which is in contact with diluted HF, where hydrogen-terminated bare Si surface is maintained all the time. If metallic ions are more stable when they are absorbed on the bare Si surface than when they are dissolved in diluted HF, they must get absorbed onto the Si surface from the very beginning of this procedure. These metals must be found absorbed in an almost uniform way on the entire Si surface. In contrast, if they are more stable when they are dissolved in diluted HF, they must remain in DHF solution until the end of the evaporation process. In this case, they must be found absorbed around at the center of the Si wafer. Figure 1.10 shows that Cu featuring higher electronegativity than Si is absorbed almost on the entire Si wafer surface, and that Fe and Ni featuring lower electronegativity than Si are detected only around at the center of the Si wafer. When the same experiment is performed by using Si wafer surface covered with thermal oxide (SiO2), selective adsorption of metals is not detected. Unlike the experimental result on the bare Si surface, in this case, Cu as well as Fe and Ni are found adsorbed just at the center of the wafer.
120
SiH4 Concentration [ppm]
100 Cr2O3
80 60 Hastelloy-EP
40
Pure Ni SUS316L-EP
20 0 50
100
150
200
250
300
350
400
450
500
Temperature [°C]
FIGURE 1.9 Temperature dependence of SiH4 concentration in Ar at the outlet of the tube reactor having a diameter of 1/4 in. and a length of 1 m whose inner surface is pure Ni, electropolished hastelloy, electropolished SUS 316, and Cr2O3, where the gas flow rate is 26.3 cm3/min and the temperature of the tube reactor is raised to 0.338C/min.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
12 1.E+15
Metal Adhesion (atm/cm2)
1.E+14
Bare Si(N) Cu 3ppb/DHF (0.5%) AIR
Cu
Bare Si(N) Fe 3ppb/DHF (0.5%) AIR
Bare Si(N) Ni 3ppb/DHF (0.5%) AIR
Ni
Fe
1.E+13
1.E+12
1.E+11
1.E+10 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 Distance from Center (mm)
Distance from Center (mm)
Distance from Center (mm)
FIGURE 1.10 Metallic impurities adhesion concentration profile to the bare Si[p-Si(1 0 0)] surface from contaminated DHF (0.5%) in air where the DHF of 25 cm3 contaminated with 3 ppb Cu, Fe, and Ni by CuCl2, FeCl2, and NiCl2 is spread on the entire 6-in. wafer and evaporated by a halogen lamp. The surface concentrations of metals are measured by total x-ray reflection fluorescence. The horizontal axis is the distance in radial direction from the wafers center and the vertical axis is the surface metallic concentration. Fe and Ni each adhere only to the center of the wafer where they especially do not adhere to the peripheral area of the wafer.
In another test, HCl:H2O2:H2O (1:1:6) (HPM) solution to form chemical oxide on the Si wafer surface is spread on the entire Si wafer surface so as to contaminate the Si surface with Cu, Fe, and Ni. As shown in Figure 1.11, these three metals are found distributed on the Si wafer surface in almost the same manner. On this contaminated wafer surface, when high-purity 0.5% HF solution (25 cm3 having no metallic contamination) is spread, and evaporated just in the same way as described earlier, a new pattern emerges. Figure 1.12 shows this pattern in which the metallic impurity concentration
1.E+15 Bare Si(N) Cu,Fe,Ni 3ppB/HPM (1:1:6) AIR
Bare Si(N) Cu,Fe,Ni 3ppb/HPM (1:1:6) AIR
Bare Si(N) Cu,Fe,Ni 3ppb/HPM (1:1:6) AIR
Metal Adhesion (atm/cm2)
1.E+14
Cu
Fe
Ni
1.E+13
1.E+12
1.E+11
1.E+10 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 Distance from Center (mm)
Distance from Center (mm)
Distance from Center (mm)
FIGURE 1.11 Metallic impurities adhesion to the bare Si[n-Si(100)] surface from HPM solution (HCl:H2O2:H2O ¼ 1:1:6) contaminated with 3 ppb Cu, Fe, and Ni in air. The adhesion profile on the entire wafer surface is almost similar for Cu, Fe and Ni. Fe, and Ni adhere even to the peripheral area in this case which are included in the chemical oxide formed in the HPM solution.
Surface Chemical Electronics at the Semiconductor Surface
13
profile in radial direction pertains to the effect of evaporation of ultrapure DHF, whereas the dashed lines indicate initial Cu, Fe, and Ni contamination included in chemical oxide. It has been revealed from these results that Fe and Ni included in chemical oxide are removed with HF solution together with the chemical oxide, because they are detected only around at the center of the wafer surface. In contrast, concentration distribution of absorbed Cu remains unchanged. This indicates that metals featuring lower electronegativity than Si, even when they are included in oxide and remain on the Si surface, can be completely removed in the DHF treatment for oxide removal. It is also proved that metals featuring higher electronegativity than Si which form direct chemical bond with the Si surface cannot be removed in the DHF treatment. Cu included in oxide is dissolved once when the diluted HF solution etches the oxide, but it gets reabsorbed immediately from the DHF solution when the bare Si surface is exposed. These experiments demonstrate the following: .
.
Metallic atoms having higher electronegativity than Si, such as Cu, Hg, Pd, Ag, Pt, and Au, chemically bind with Si by directly taking electrons from Si. Metallic atoms having lower electronegativity than Si, such as Fe, Ni, Cr, Ca, K, and Na, are not directly absorbed onto the bare Si surface, but they are included in native oxide or chemical oxide formed on the Si surface as they are easier to be oxidized than Si.
Researchers and engineers whose background is either the semiconductor or the solid-state physics field are familiar with the concept of energy level. As shown in Table 1.2, the energy level of H and F elements is 25.11 and 29.42 eV, respectively. If the Si surface is terminated by these elements, a surface-band bending is generated. The surface-band bending patterns of hydrogen- and fluorine-terminated p-type Si are illustrated in Figure 1.13 and Figure 1.14. The energy level of H is almost the same as the energy at the top of the Si valence band, whereas the energy level of F is deeper than that of the top of the Si valence band by 4.27 eV. When H or F terminates the dangling bonds of the Si surface, the terminating, F element captures, many electrons in the valence band (contributing to the Si22Si covalent bonds) but the terminating-H does not capture them. In other words, electron transfer in the valence band is not triggered by
1.E+15
Cu
Metal Adhesion (atm/cm2)
1.E+14
1.E+13
Bare Si(N) DHF (0.5%) After HPM (1:1:6) Cu/Fe/Ni 3ppb AIR
Fe Bare Si(N) DHF (0.5%) After HPM (1:1:6) Cu/Fe/Ni 3ppb AIR
Ni Bare Si(N) DHF (0.5%) After HPM (1:1:6) Cu/Fe/Ni 3ppb AIR
1.E+12
1.E+11
1.E+10 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 −10 0 10 20 30 40 50 60 70 Distance from Center (mm)
Distance from Center (mm)
Distance from Center (mm)
FIGURE 1.12 Adhesion profile of metallic impurities of Cu, Fe, and Ni before and after the pure DHF, is spread on the entire wafer surface and evaporated by halogen lamp irradiation, where the wafer surface is initially contaminated by HPM solution in Figure 1.11. Fe and Ni are removed by the DHF along with the oxide film removal but Cu cannot be removed by the DHF. The dashed line indicates an initial contamination profile and the solid line indicates ultrapure DHF-treated profile.
14
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing p-Si Vacuum Level
Conduction Band −4.05 eV H− H −5.15 eV
H H 5.11 eV
Valence Band
FIGURE 1.13 Band structure of hydrogen-terminated p-type Si surface.
hydrogen termination. On a surface where electrons exist in large volume in the conduction band, such as the nþ Si surface, the conduction band electrons make a shift toward terminating hydrogen. Figure 1.15(a) shows the hydrogen-terminated Si surface. It is clearly evident that features the same state of electrons as bulk Si crystal for valence electrons. In the case of the fluorine-terminated
p-Si Vacuum Level
Conduction Band −4.05 eV F− −5.15 eV Valence Band
F 9.42 eV
F
FIGURE 1.14 Band structure of fluorine-terminated p-type Si surface.
Surface Chemical Electronics at the Semiconductor Surface
15
(a) H
H
H
Si
Si
Si
Si
-
-
-
F
-
-
-
F
Si
F
-
-
F
Si
Si
Si
Si
Si
-
F
H
Si
Si
(b)
H
H
F Si
Si
Si
Si
FIGURE 1.15 Electron state in the valence band of (a) hydrogen- and (b) fluorine-terminated Si(1 0 0) surfaces.
surface, as electrons are locally concentrated on terminating fluorine elements, the Si surface runs very much short of electrons (contributing to the covalent bond of Si crystal) as shown in Figure 1.15(b). This makes Si22Si covalent bonds in the vicinity of the surface very weak. The author next describes how this difference in state of electrons on the surface caused by terminating elements affects various surface reactions.
IV. OXIDATION OF Si SURFACE AT ROOM TEMPERATURE Even when 50 –200 Si wafers are treated altogether in a batch process to form thin oxide film having a thickness less than 3 nm, it is required to maintain uniformity of film thickness on the entire surface of every individual wafer as well as among all the wafers. To satisfy this requirement, it is essential to perform (i) wafer loading to oxidation furnace (to raise wafer temperature); (ii) wafer unloading (to decrease wafer temperature) in inert gas ambience, such as Ar or N2 gas, completely excluding O2 and H2O molecules; and (iii) to start the oxidation process by introducing O2 or H2O gas for a predetermined time maintaining a constant temperature. If the bare Si surface completely free from oxides is exposed to elevated temperature of over 6008C in Ar gas or other inert gases, however, hydrogens terminating the surface are removed and surface microroughness is extremely increased [12,13]. But once a monoatomic layer oxide is formed with O2 gas on the Si surface (for instance at 3008C at which terminating hydrogens are stable), increasing the wafer temperature even to as high as 9008C in the inert atmosphere does not produce any increase in microroughness. Further, extremely thin and uniform high-quality oxide layer can be
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
16
formed on all Si wafer surfaces [12]. Oxidation at 3008C allows the terminating hydrogen to exist stable, and oxidation proceeds as oxygen molecules penetrate into back bonds of Si. Monoatomiclayer oxide (0.4 nm in thickness) is formed on the hydrogen-terminated Si surface with 20-min oxidation in O2 gas ambience at 3008C whose thickness was evaluated by XPS [12,13] as shown in Figure 1.16. In contrast, when the Si surface is terminated with fluorine, valence bond electrons contributing to the covalent bond of Si crystal are taken from just around the surface by the terminating fluorine elements, and the binding state of the Si elements gets weakened. This should mean that oxygen atoms at surface can easily penetrate into back bonds of Si surfaces at lower temperatures. Figure 1.16 shows the time dependence of oxide film formation on the hydrogen-terminated Si surface at room temperature, 2008C, 3008C, and 4008C as well as on the fluorine-terminated Si surface at room temperature. It is seen in Figure 1.16 that the hydrogen-terminated Si surface is not oxidized even when it is exposed to the O2 gas ambience at room temperature for 104 min. The fluorine-terminated Si surface is easily oxidized in the O2 gas ambience at room temperature. This is because Si22Si covalent bond of the fluorine-terminated Si surface is weakened as shown in Figure 1.14 and Figure 1.15, which allows oxygen molecules to easily penetrate into back bonds of Si and to react with Si atoms even at room temperature. The fluorine-terminated surface is obtained by removing SiO2 film on the Si surface with anhydrous HF gas at room temperature [14], where the terminated fluorine is not removed even with thermal treatment at 9308C in an inert gas ambience.
10 25°C 25°C
5
200°C 300°C
400°C
H terminated
F terminated
3
Oxide thickness (nm)
2
1
0.5
H terminated
0.3
F terminated 0.2
0.1 2
5
10
20
50
100
200
Time (min)
FIGURE 1.16 Oxide film thickness as a function of treatment time in dry O2 for various temperatures for hydrogen- and fluorine-terminated Si(1 0 0) surfaces.
Surface Chemical Electronics at the Semiconductor Surface
17
V. SELECTIVE W FILM DEPOSITION BY MEANS OF (SiH4 1 WF6) The tungsten (W) film deposition by means of SiH4 molecular reduction of WF6 molecules can be selectively performed only on the Si surface or a metal surface at low temperature of 100 – 2008C. What is the mechanism of this selective film deposition? Let us discuss the mechanism of the W film deposition on the hydrogen-terminated Si surface. As described in Section II, SiH4 molecules are not decomposed at these temperatures on the hydrogen-terminated Si surfaces. As SiH4 molecules are not decomposed at low temperature of 100 –2008C, W film deposition which is actually observed must be attributed to decomposition of WF6 molecules triggered by their interaction with the hydrogen-terminated Si surface. WF6 molecules have been demonstrated to decompose due to interaction with the hydrogen-terminated Si surface even at room temperature although they feature very high bond energy. When WF6 molecules are introduced into the tube reactor at room temperature whose inner surface is covered by nondoped hydrogen-terminated Si surface, WF6 molecules are not detected at the outlet of this reactor, but SiF4 molecules and SiHF3 molecules are detected as shown in Figure 1.17. This means that WF6 molecules are completely decomposed even at room temperature on the hydrogen-terminated Si surface [15]. WF6 molecules start to be detected at the outlet of the tube reactor only when W film of about 50 atomic layers is deposited on the hydrogen-terminated Si surface. This means that WF6 molecules also get decomposed on the W surface which is terminated with a mixture of hydrogen and fluorine, and that WF6 molecular decomposition would stop when the W film surface is completely covered by fluorine elements. These results clearly indicate that fluorinated molecules such as WF6 can easily react with hydrogen radicals such as surface-terminating hydrogens on a Si surface. It has been found that SiH4 molecules are decomposed even around room temperature on the fluorine-terminated W surface [16]. As shown in Figure 1.18, SiH4 molecules are completely decomposed on the fluorine-terminated W surface, where the reaction product is SiF4. The W film deposited by means of H2 reduction reaction of WF6 at the Si surface was not adopted in actual manufacturing processes due to the encroachment difficulty. This method of W film deposition process was found to consume Si at the surface at random, that is, there is an origin of the appearance of encroachment. When WF6 and SiH4 are used together [17,18], however, Si in the substrate surface is only consumed until the first W monoatomic layer is deposited. Afterward, Si in SiH4 is consumed in the reaction. Equation (1.13) and Equation (1.14) express the basic
Absorbance
2316 SiHF3
2188 SiH4
1029 SiF4
992 SiHF3
712 WF6
50 0.05
0
WF6 Concentration (ppm)
0.1
0 0
100
200
300
400
Time (min)
FIGURE 1.17 Time variation of WF6, SiF4, and SiHF3 concentration at the outlet of the tube reactor having a diameter of 1/2 in. and a length of 45 cm at room temperature whose inner surface is covered by hydrogenterminated nondoped Si. The flow rate of Ar including 100 ppm WF6 is 10 cm3/min. WF6 molecules are confirmed to completely decompose at room temperature by interacting with the hydrogen-terminated Si surface, whereas WF6 molecules are detected at the outlet of the tube reactor after about 50 atomic layers deposition of tungsten on the Si surface.
0.1
2316 2188 SiHF3 SiH4
1029 992 SiF4 SiHF3
712 WF6
Absorbance
200 0.05 100
0
0
100
200
300
400
500
600
SiH4 Concentration (ppm)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
18
0
Time (min)
FIGURE 1.18 Time variation of SiH4 and SiF4 concentration at the outlet of the tube reactor whose inner surface is covered by fluorine-terminated tungsten. The flow rate of Ar, including 100 ppm SiH4 is 100 cm3/min. SiH4 molecules are confirmed to completely decompose at room temperature by interacting with fluorine-terminated tungsten surface. SiH4 react with fluorine-terminated tungsten surface completely at room temperature. So intermediates such as SiHF3, SiHF3 and WE6 are not detected.
reaction mechanism: 3 3 WF6 þ SiH4 ) W þ SiF4 þ 3H2 2 2
(1:13)
WF6 þ 2SiH4 ) W þ 2SiHF3 þ 3H2
(1:14)
SiHF3 is sometimes included in reaction byproduct. In this case, however, SiHF3 is decomposed on SiO2 to induce W deposition, which puts an end to selective film deposition on the Si and metal surfaces. It is not clearly understood why the fluorine-terminated surface decomposes SiH4 at room temperature. Current speculation on this issue is as follows: Regardless of the underlying substrate material, a fluorine atom terminating the surface attracts a large number of electrons due to its high electronegativity. Its surface status, therefore, is just like an oxide-free platinum surface having a strong catalytic behavior. Existence of a large number of electrons induces a shielding effect to make Coulomb force of adsorbed molecules extremely low, which makes it possible to decompose adsorbed molecules by means of thermal energy at room temperature. Figure 1.19 shows the decomposition and dissociation process of adsorbed molecules, which is induced by catalytic effects of fluorine-terminated surface and the metal surface to weaken the bond energy of molecules. The mirror image of positive and negative charges in adsorbed molecules is generated on the surface, which makes a part of electric force lines in the molecules to be terminated on the surface. This is how the bond energy of adsorbed molecules on the metal surface or on the fluorine-terminated surface is weakened.
VI. REDOX POTENTIAL AND ENERGY LEVEL OF LIQUID SOLUTION — NATIVE OXIDE FORMATION ON THE Si SURFACE AND METAL CONTAMINANT ADHESION AND REMOVAL WITH SOLUTION The redox (reduction and oxidation) potential is a well-known parameter in the field of solution chemistry. A redox potential of 0 V is defined as the potential of the reduction –oxidation reaction of a H2 molecule in solution. Solutions which feature stronger oxidizing force are expressed with positive redox potential, whereas those which feature stronger reducing forces are expressed with
Surface Chemical Electronics at the Semiconductor Surface
19
(a)
A
B
A
B
(b)
Metal FIGURE 1.19 Catalytic effect of metal surface and fluorine-terminated surface for adsorbed molecules (AB). Electric force line distribution in a molecule (AB) in gaseous phase (a) and adsorbed on metal or fluorineterminated surface (b).
negative redox potentials. The “redox potential – pH diagram” is popular in physical inorganic chemistry, particularly in the field of metal surface corrosion, where this diagram has been used to study two-phase systems of metals and water solutions in detail. The redox potential –pH diagram is effective in investigating metallic contamination on the Si surface. The diagram cannot be applied as it is, because this investigation deals with the three-phase system of metal, water solution, and the Si surface. Figure 1.20 shows the redox potential –pH diagram for Cu. In acid solutions featuring pH 0– 6 and redox potential of over þ0.25 V, Cu is dissolved in an ionic form such as Cu2þ. When the Si surface coexists, however, Cu is not dissolved in solutions in an ionic form but adsorbed on the Si surface unless the redox potential is higher than a certain level. To be more specific, as shown in Figure 1.21, the redox potential needs to be higher than þ0.85 V in HF solution and higher than þ0.75 V in other acid solutions to keep Cu dissolved in an ionic form [19,20]. As no oxide is formed on the Si surface in HF solution, the redox potential should be kept higher by 0.1 V than the other acid solutions where the Si surface is covered by chemical oxides. In other words, Cu adsorption is primarily suppressed due to oxidation of the Si surface in the other acid solutions [19,20]. It has been recently revealed that a redox potential of 0 V is equivalent to an energy level of 24.44 eV [21]. A redox potential of þ0.75 V is equivalent to an energy level of 25.19 eV, which is equal to the energy level at the top of Si valence band. This triggers oxidation of the Si surface as solutions take electrons contributing to Si covalent bond from the Si surface. The solution featuring the redox potential of E features the energy level (1) given by the following equation: 1 ¼ (4:44 þ E) (eV)
(1:15)
which can be considered as the Fermi level of solution. Figure 1.22 shows “energy level – pH diagram,” where the energy level of various cleaning solutions and ultrapure water is plotted. Dotted horizontal lines stand for an energy level at the bottom of the Si conduction band and an energy level at the top of the Si valence band. It is seen from Figure 1.22 that the energy level of acid solutions featuring a E higher than þ0.75 V
20
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 1.20 Redox potential – pH diagram for Cu. Cu is dissolved as a Cu2þ ion into the solution having a redox potential larger than þ0.25 V and a pH less than 6. Cu, 1 ppm in water.
is deeper than that at the top of the Si valence band. Electrons in the valence band contributing to the Si covalent bonds, therefore, are taken by these acid solutions, that is, the Si22Si bond at the surface gets weakened, and the Si surface is easily oxidized. This is how the chemical oxide is rapidly formed in acid solutions having a redox potential larger than þ0.75 V and relative dielectric constant of 81. In ultrapure water which comes in contact with the air and contains dissolved oxygen with its saturation solubility of 8.4 ppm, where its redox potential is þ0.6 V, chemical oxide is gradually formed even at room temperature. In ultrapure water from which dissolved oxygen is removed, where its redox potential is þ0.4 V, in contrast, chemical oxide is hard to be formed [22]. These facts have something to do with the oxidizing force (force to take electrons) of these solutions against the Si surface. It is revealed in Figure 1.22 that the energy level of ultrapure water containing dissolved oxygen of 8.4 and of 0 ppm is 25.14 eV, same is the status of valence band energy level with 24.84 eV, higher than the valence band energy. The redox potential of the ultrapure water including four different dissolved oxygen concentrations such as 0.01, 3, 10, and 18 mg/l is plotted as a function of dissolved hydrogen concentrations as shown in Figure 1.23. It is seen from the curve patterns of Figure 1.23 that chemical characteristics of the ultrapure water, namely, the redox potential, are strongly controlled by dissolved oxygen concentration and dissolved hydrogen concentration with ppm order concentrations. The redox potential gradually decreases down to less than þ200 mV with an increase of dissolved hydrogen concentration and suddenly changes down to around 2400 mV at the dissolved hydrogen concentration of equimolar concentration of dissolved oxygen. The redox potential of the ultrapure water maintains at a level of 2400 mV for dissolved hydrogen concentration greater than equimolar concentration of dissolved oxygen. The activation energy of normal high-temperature oxidation by means of dry oxygen is around 2.0 eV. From extrapolation on the basis of this activation energy, room-temperature oxidation can
Surface Chemical Electronics at the Semiconductor Surface
21
2.5
Cu Deposition (atoms/cm2)
>1E+14 1E+13~14
2
1E+12~13
1E+11~12
1E+11>
1.5
E (V vs. NHE)
HCuO2−
Cu(OH)2
Cu2+
−
1
0.5
CuO22 Cu-Si-Wafer Cu Cu O 2
Cu-Water
0
−0.5
Cu
−1
−1.5
0
2
4
6
8
10
12
14
pH
FIGURE 1.21 Redox potential– pH diagram for Cu when the Si surface is introduced. The critical potential for Cu dissolution into acid solution as Cu2þ ion is shifted to þ0.75 from þ0.25 V due to the existence of the Si surface. Cu, 1 ppm (using Cucl2), dipping time 3 min.
form oxide film, less than 10215 nm in thickness in a few hours. Growth of chemical or native oxide features a totally different mechanism from that of high-temperature oxidation. The Si surface is oxidized around 0.5 nm in thickness at room temperature in the air [22]. This native oxide growth features the following mechanism: When being exposed to air, the Si surface is covered with moisture molecules of several tens of molecule layers due to the moisture contained in the air [23]. This means that the Si surface is just in the same state as contacting with water having dissolved oxygen of 8.4 ppm. Owing to the very high relative dielectric constant of water of 81, Si22Si bonds on the surface get weakened. Besides, electrons contributing to the covalent bond are taken away by the absorbed water layer having a redox potential of þ600 mV, which further weakens Si22Si bonds on the surface. So, oxygen can easily penetrate into the back bond of Si. This is how room-temperature oxidation of Si surface proceeds in the air. In the Si technology, metallic contaminations such as Cu on the Si surface have been removed by SPM (H2SO4/H2O, 4:1, 120 – 1508C). This is accompanied with too much chemical vapor generation and too much liquid chemical consumption. This high-concentration chemical solution has been proved to be required to obtain a higher redox potential or lower energy level to take electrons from the surface-contaminated metals to dissolve them into the solution as metal ions. Ozonated ultrapure water having a ppm level of O3 concentration has been confirmed to exhibit very high redox potentials such as þ1.30 V, which corresponds to the energy level of 25.74 eV, as shown in Figure 1.22. Thus, ozonated ultrapure water has been speculated to have metal contamination removal capability from the Si surface instead of the SPM solution. This speculation has been demonstrated experimentally as shown in Figure 1.24, where an initial Cu contamination of up
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
22
FIGURE 1.22 Energy level 1 of solution converted from redox potential PRED by 1 ¼ 2(PRED þ 4.44) (eV) is plotted as a function of pH. The horizontal dashed line is the conduction band energy (24.05 eV) and the valence band energy (25.15 eV) of Si. The energy level of the acid solution is deeper than the valence band energy.
Oxidation-Reduction Potential (mV vs NHE)
to 1015 atoms/cm2 is reduced down to the order of 1010 atoms/cm2 by ozonated ultrapure water cleaning and sulfuric acid-hydrogen peroxide mixture (SPM) (H2SO4/H2O2) cleaning. The remaining Cu of 1010 atoms/cm2 is included in the chemical oxide formed in ozonated ultrapure and SPM solution, so that this remaining Cu contamination is completely removed by fluoric acid-hydrogen 700 600 500 400 300 200 100 0 −100 −200 −300 −400 −500
0.01mg/L=0.31x10−6 mol/L 3mg/L=0.09x10−3mol/L 10mg/L=0.31x10−3mol/L 18mg/L=0.56x10−3mol/L
0.0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8 (× 10−3mol/L)
0.0
0.2
0.4
0.6
0.8
1.0
1.2
1.4
1.6 (mg/L)
Dissolved Hydrogen Concentration
FIGURE 1.23 Redox potential of ultrapure water having four different dissolved oxygen concentrations are plotted as a function of dissolved hydrogen concentration.
Surface Chemical Electronics at the Semiconductor Surface
UPW
23
UPW
UPW
UPW
FIGURE 1.24 Cu cleaning capability of ozonated ultrapure water from the Si surface is illustrated as well as the SPM (H2SO4/H2O2) cleaning capability. Initial Cu contamination (1015 atoms/cm2) is decreased to 1010 atoms/cm2 by ozonated ultrapure water and SPM cleaning. The remaining 1010 atoms/cm2 Cu contamination is included in chemical oxide, which can be removed by FPM (HF/H2O2/H2O) cleaning.
peroxide mixture (FPM) cleaning (HF/H2O2/H2O, 0.05 –0.5%:0.1– 1%, room temperature) as shown in Figure 1.24. It is very important to note that ozonated HF solution cannot remove Cu contamination from the Si surface. Figure 1.24 indicates the Cu-cleaning capability of ozonated ultrapure water having a pH value of 6.5 for just contaminated Cu on Si wafer surfaces form Cucontaminated DHF solutions. Ozonated ultrapure water gradually looses its Cu-cleaning capability with an increase of exposure times of Cu-contaminated Si wafer surfaces to the clean room air as shown in Figure 1.25, where Cu-contaminated Si wafer surfaces have been exposed to the clean room air for 2 days. Cu removal capability of ozonated ultrapure water has been confirmed by controlling pH value down to 4.0 whose redox potential is shown in Figure 1.26.
VII. NEW ERA OF Si TECHNOLOGY — (1 1 0) Si SURFACE The author is now developing a very new semiconductor-manufacturing technologies, that is, radical-reaction-based semiconductor manufacturing by using microwave-excited high-density plasma with very low electron temperatures instead of current molecule-reaction-based semiconductor manufacturing [25 –32]. The newly developed microwave-excited high-density plasma equipment is completely free from surface damages due to high-energy ion bombardment, charge-up damages, and metallic contaminations coming from high-energy ion bombardment onto the inner surface of the process chamber. These characteristics have been obtained by two remarkable features of this plasma equipment: (i) very low electron energy around 1.0 eV or less and (ii) complete separation of plasma excitation region and process region. In this new plasma equipment, silicon wafers are set in the diffusion plasma region where the ion current and the electron current flowing onto the silicon wafer surface compensate with each other at an instant, so that electric charges do not remain on the silicon wafer surface in principle even if the plasma is turned off at any time. Thus, the newly developed high-density plasma equipment can be applied to transistor fabrications, whereas current plasma equipment is not used for transistor fabrications but is used only for interconnect fabrications.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Cu Concentration (atoms/cm2)
24
O3-UPW Cleaning conditions 1. O3 : 5 mg/I 2. Batch Cleaning : 5 mg/I 3. Exposing time : 2 days
1.30V (vs. NHE)
1015 1014 1013
1.36V (vs. NHE)
1012
1.42V (vs. NHE)
1011 1010 109
as-contamination
pH 5.0
pH 6.5 UPW only
pH 4.0
CO2 concentration Ozonated Ultrapure Water
FIGURE 1.25 Cu removal capability of ozonated ultrapure water having different pH value such as 6.5, 5.0, and 4.0 by injecting CO2 gases for Cu-contaminated Si wafers after two days exposure to the clean room air.
1mg/I Cu in Water 2.5 Dissolution
Dissolution
2 CO2 + O3-UPW and HCI + O3-UPW with pH value of 5
−
HCuO2
1.5 Cu(OH)2
CO2 + O3-UPW and HCI + O3-UPW
E (V vs. NHE)
1
O3-UPW only
−
with pH value of 4
Cu2+
0.5
CuO22
O2 + 4H + + 4e − 2H 2O
UPW only
Cu O 2
0
2H +
−0.5
+ 2e − H2
Cu
−1
−1.5 0
2
4
6
8
10
12
14
pH
FIGURE 1.26 Redox potential of ozonated ultrapure water is plotted as a function of pH value.
Surface Chemical Electronics at the Semiconductor Surface
25
SiO2 films are essential key materials for large-scale integration (LSI) devices, where SiO2 films are currently fabricated by thermal oxidations using O2 on H2O molecules. Thermal oxidations require very high temperature treatment from 8008C to 11008C. High-integrity SiO2 films have been confirmed to be obtained only on Si (1 0 0) surfaces by the thermal-oxidation-based semiconductor-manufacturing technologies. Thus, we can produce LSI devices only on Si (1 0 0) surfaces, where transistor structures are limited to two-dimensional planar structures. The newly developed microwave-excited high-density plasma with very low electron temperatures has been confirmed to generate various radicals such as oxygen radicals O by Kr/O2 and NHþ radicals by Xe/NH3 very effectively. Generated O and NH radicals have been proved to produce very-high-integrity SiO2 and Si3N4 films, respectively, not only on Si (1 0 0) surfaces at very low temperatures of 200 –6008C but also on any crystal orientation Si surface. Leakage current densities through these radical-reaction-based SiO2 and Si3N4 films obtained at 4008C and molecule-reaction-based thermal oxide SiO2 obtained at 9008C for the voltage application of 1 V across these films are plotted as a function of equivalent oxide thickness (EOT) in Figure 1.27. It has been confirmed from Figure 1.27 that the leakage current through radicalreaction-based SiO2 and Si3N4 films is smaller than that through molecule-reaction-based thermal oxide film by three orders of magnitude. To obtain these very-high-integrity radicalreaction-based insulator films, it is very crucial to remove terminated hydrogen atoms from base Si surface by very-low-energy Krþ and Xeþ ion bombardment just before starting the radical reaction oxidation and nitridation. Figure 1.28 indicates interface-state density for radical-reaction-based SiO2 film and moleculereaction-based thermal oxide film fabricated on Si (1 0 0), (1 1 0), and (1 1 1) surfaces. The interface-state density of radical-reaction-based SiO2 films obtained at 4008C is maintained at just around 1 1010 cm22 for all Si crystal orientation surfaces, whereas the interface-state density rapidly increases to different surfaces such as (1 1 0) and (1 1 1) from (1 0 0) surface. In Figure 1.29, the oxide film thickness is plotted as a function of the oxidation time for radicalreaction-based oxidation at 4008C and molecule-reaction-based oxidation at 9008C on four different Si surfaces such as single-crystal (1 0 0), (1 1 0), (1 1 1) surfaces and highly doped nþ polycrystalline
FIGURE 1.27 Leakage current densities through the radical-reaction-based SiO2 and Si3N4 films obtained at 4008C, and the molecule-reaction-based thermal oxide films obtained at 9008C vs. (equivalent oxide thickness EOT) where 1 V is applied across these insulator films.
26
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Dit at midgap (eV−1cm−2)
Interface Trap Density 1012 1011
AI Gate MOS Tox=10nm
1010 109 (100) (110) (111) (100) (110) (111) O* oxidation (400°C)
Dry oxidation (conventional)
Kr/O2 Plasma (Radical Oxidation)
Dry 900°C (Molecular Oxidation)
FIGURE 1.28 Interface-state densities of the radical-reaction-based SiO2 films obtained at 4008C and the molecule-reaction-based SiO2 films obtained at 9008C for three different crystal orientation surfaces such as (1 0 0), (1 1 0), and (1 1 1).
Si surface. It is clearly seen from Figure 1.29 that the oxidation speed is maintained at the same level for these four different Si surfaces in the radical-reaction-based oxidation, whereas the oxidation speed is completely different for single-crystal (1 0 0), (1 1 0), and (1 1 1) surfaces, and highly doped nþ polycrystalline surface. These results clearly indicate that identical samequality SiO2 films have been fabricated by the radical-reaction-based oxidation even for the different Si surfaces whereas completely oxide films of different quality have been fabricated by the molecule-reaction-based high-temperature thermal oxidation for the different Si surfaces.
FIGURE 1.29 Oxidation speeds of the radical-reaction-based oxidation at 4008C and the molecule-reactionbased oxidation at 9008C for four different Si surfaces such as single-crystal (1 0 0), (1 1 0), (1 1 1) and highly doped nþ polycrystalline Si surface.
Surface Chemical Electronics at the Semiconductor Surface
27
The radical-reaction-based SiO2 and Si3N4 films have been confirmed to decrease flicker noise on 1/f noise component by at least one order of magnitude compared with the current moleculereaction-based thermal oxide films. The radical-reaction-based gate insulator films have been proved very crucial for coming LSI device manufacturing. New era of Si technologies are going to start right now by introducing the radical-reactionbased semiconductor-manufacturing technologies, when three-dimensional transistors will become available on any crystal orientation Si surface instead of two-dimensional planar structure transistors on (1 0 0) Si surface. Currently, most of LSI devices consist of Complementary Metal Oxide Semiconductor (CMOS) circuit to establish very low power consumption operation where nMOS and pMOS transistors are connected in series manner. The current drivability of pMOS transistor is smaller than that of nMOS transistor by a factor of about 1/3 on (1 0 0) Si surface, so that the size on the gate width of pMOS transistor must be designed larger than that of nMOS transistor by a factor of about 3 in CMOS circuit configuration (1 0 0) Si surface, that is, unbalanced CMOS. This poor current drivability of pMOS limits performances of the CMOS circuits such as highspeed operation, wide dynamic range analog operation, and so on. Current–voltage characteristics of pMOS having the same size fabricated on (1 0 0) and (1 1 0) Si surfaces are shown in Figure 1.30. From Figure 1.30, an introduction of (1 1 0) surface has been confirmed to improve the current drivability of pMOS by a factor of about 3, that is, completely similar current drivability of nMOS on (1 0 0) Si surface, resulting in a balanced CMOS circuit configuration. The current drivability of MOS transistors is very sensitive to the surface microroughness particularly on (1 1 0) Si surface, so that it is very essential to establish very smooth (1 1 0) Si surfaces having an atomic order flatness [33,34]. The surface microroughness of Si wafer surfaces strongly depends on various wet chemical processing including ultrapure water treatment [24,35]. Particularly, the surface microroughness has been confirmed to rapidly increase with the ultrapure water treatment as shown in Figure 1.31, wherein AFM images of (1 1 0) silicon surfaces are shown for 1-h and 12-h treatment in the ultrapure water having dissolved oxygen less than 1 ppb and whose redox potential is þ400 mV (i.e., 24.84 eV in energy levels). The average surface microroughness increases up to 0.30 and 1.53 nm from the initial value of 0.14 nm by these ultrapure water treatments, whereas the peak to valley of the microroughness increases up to 3.85 and 10.8 nm from the initial value of 1.64 nm. pMOS (110) 10
8
8
6 4 2
ID/COX [102A/F]
ID/COX [102A/F]
pMOS (100) 10
VG−Vth=−2.5V VG−Vth=−2.0V VG−Vth=−1.5V VG−Vth=−1.0V VG−Vth=−0.5V
VG−Vth=−2.5V VG−Vth=−2.0V
6 3
4
VG−Vth=−1.5V VG−Vth=−1.0V
2
VG−Vth=−0.5V 0 0
−1
−2 VDS [V]
−3
0 0
−1
−2 VDS [V] TOX=4.5 nm
−3
L=100um, W=100 um P-channel MOSFET
Clock rate of LSI is improved by a factor of three merely by building a transistor on (110) surface.
FIGURE 1.30 Current – voltage characteristics of pMOS transistors having a channel length of 100 mm, a gate width of 100 mm and a gate oxide thickness of 4.5 nm fabricated on (1 0 0) and (1 1 0) Si surfaces.
28
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Before dipping
Ra: 0.14 nm Rmax: 1.64 nm
1 hour
12 hours
Ra: 0.30 nm Rmax: 3.85 nm Recipe; cleaning
Ra: 1.53 nm Rmax: 10.8 nm
dipping into solution
FIGURE 1.31 AFM images of p(1 1 0) Si wafer surface after treatment with ultrapure water for 1 and 12 h in nitrogen atmosphere.
To suppress the increase of the surface microroughness of (1 1 0) Si surface, it is essentially required to introduce a new ultrapure water treatment technology, that is, an iso-propylalcohol (2-propylalcohol)-added ultrapure water treatment without dissolved oxygen (IPA-UPW treatment, ultrapure water to which IPA is added at 30 wt%). In Figure 1.33, the average surface microroughness of (1 0 0) and (1 1 0) Si surfaces is shown after 24-h ultrapure water treatment having two different dissolved oxygen concentrations of less than 1 ppb and 8.4 ppm, that is, an equilibrium oxygen concentration of ultrapure water contacting the air. This surface microroughness comes from the dissolution of Si surface into the ultrapure water as shown in Figure 1.32, where the dissolved Si atom volume is plotted for (1 0 0) and (1 1 0) Si surfaces after 24-h treatment in various ultrapure water including dissolved oxygen concentrations of 0 ppm, 8.4, and 42 ppm. Si surface dissolutions into the ultrapure water are very different between (1 0 0) and (1 1 0) Si surfaces. The number of dissolved Si atomic layers is plotted in Figure 1.32. The redox potential of the ultrapure water including dissolved hydrogen of 1.6 ppm without dissolved oxygen is 2400 mV, that is, corresponding to 24.04 eV in the energy level from Figure 1.22. It has been confirmed from Figure 1.32 that hydrogenated ultrapure water does not suppress the Si surface dissolution, resulting in an increase of the surface microroughness. The average surface microroughness is simultaneously plotted for 24-h treatment of (1 0 0) and (1 1 0) Si surfaces in 30% IPA-UPW in Figure 1.33. It is seen from Figure 1.33 that the average surface microroughness of (1 1 0) Si surface decrease from 4.8 to 2.5 nm by 24-h ultrapure water treatment with an increase of dissolved oxygen concentration from 0 to 8.4 ppm, that is, the redox potential of þ400 and þ600 mV. The surface microroughness of (1 0 0) Si surface also decreases from 0.56 to 0.29 nm with this increase of dissolved oxygen concentration. It is very important to note from Figure 1.33 that the 30% IPA-added ultrapure water treatment maintains the surface microroughness at its initial level even after 24-h treatment. Si surface dissolutions of (1 0 0) and (1 1 0) Si surfaces into the IPA-added ultrapure water are plotted as a function of IPA concentrations after 24-h treatment in Figure 1.34.
Surface Chemical Electronics at the Semiconductor Surface
29
FIGURE 1.32 The dissolved gas (oxygen or hydrogen) and oxygen concentration dependency of dissolved Si amount for 24 h treatment.
Figure 1.35 and Figure 1.36 show that the Si surface dissolutions drastically decreased in IPAadded ultrapure waters with an increase of IPA concentration up to 30%. AFM images of (1 0 0) and (1 1 0) Si surfaces after 24-h treatment are shown in Figure 1.35 and Figure 1.36. Addition of 30% IPA to the ultrapure water has been confirmed to maintain the Si surface smoothness at its initial level even for 24-h treatment. Figure 1.37 shows the molecular structure of IPA, where an a-site hydrogen is easily dissociated even at room temperature in the ultrapure water having very high dielectric constant. Huge amount of hydrogen radicals generated are immediately combined with OH† radicals that
FIGURE 1.33 Effect of IPA addition for the suppression of surface microroughness increase of p(1 1 0) Si wafer during 24 h treatment in different dissolved oxygen conditions which is less than 1 ppb and 8.4 ppm.
30
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 1.34 IPA concentration dependence of dissolved p(1 1 0) and p(1 0 0) Si amount for 24 h treatment in nitrogen atmosphere.
are generated in the ultrapure water. This drastic reduction of OH† radicals in the ultrapure water has been understood to decrease the Si surface dissolution and to maintain the Si surface smoothness. Thus, the new wet cleaning processes of the (1 1 0) Si surface and Si surfaces for the threedimensional structure transistor must be replaced by the cleaning steps shown in Figure 1.38. IPA molecules chemically absorbed on bare Si surface cannot be removed by high-temperature annealing such as 10008C [36], but can be easily removed by very low energy Kþ ion or Xeþ ion bombardments onto the bare Si surface before starting O† radical oxidation or NH† radical nitridation.
FIGURE 1.35 AFM images of p(1 0 0) Si wafer surface after treatment with ultrapure water or IPA-added ultrapure water (30%) for 24 h in nitrogen atmosphere.
Surface Chemical Electronics at the Semiconductor Surface
31
FIGURE 1.36 AFM images of p(1 1 0) Si wafer surface after treatment with ultrapure water or IPA-added ultrapure water (30%) for 24 hr in nitrogen atmosphere.
Our introduction of IPA-added ultrapure water cleaning has been confirmed not to degrade the very thin gate insulation integrity.
VIII. CONCLUSION This chapter discusses the energy of a solid, electronegativity of surface-terminating atoms, and redox potential of solutions in an integrated way in relation with dielectric constants of relating materials to reveal the possibility of electron transfer through the surface with absorbed molecules. Now that technologies have progressed highly enough to realize a surface completely free from contamination both in gaseous and liquid phases, this type of integrated approach makes sufficient sense. This study presents a way to discuss issues of the surface exposed to gaseous and liquid phases in the context of two factors: (1) dielectric constant of the solid itself and of the media contacting the surface and (2) transfer of electrons contributing to the Si22Si covalent bond to the energy level of atoms terminating the surface, the surface-absorbed molecules, and the redox potential (energy level, Fermi level) of solutions contacting the surface.
α -site hydrogen
hydrogen
oxygen
carbon
FIGURE 1.37 Structure of IPA and the position of a-site hydrogen.
32
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
pH controlled O3-UPW Removal of Organic Carbon and Metal FPM (HF/H2O2) / Surfactant+H2+Megasonic Removal of Particle and Metal Removal of Chemical Oxide pH controlled O3-UPW +Megasonic Shower Cleaning Removal of Surfactant FPM (HF/H2O2/H2O) Removal of Chemical Oxide H-Termination UPW/IPA+Megasonic Rinse
FIGURE 1.38 The procedure of new wet cleaning process.
The author has also made it possible to understand an interaction between molecules and the solid surface by considering the change of bond energy of molecules and the energy level of electrons in molecules absorbed on the solid surface. Ozonated ultrapure water features a redox potential of þ1.30 V, that is, an energy level of 25.74 eV. This means that the ozonated ultrapure water including a few ppm to several tenth ppm order O3 concentrations features a stronger oxidizing force than that of H2SO4, HNO3, and HCl, all of which feature a redox potential of about 1.0 V. Ozonated ultrapure water with pH values of 4.0 – 5.0 cannot only remove organic impurities in a short time, but also easily dissolve and remove metals featuring higher electronegativity than Si from the Si surface such as Cu. The wet cleaning of the Si surface will face a drastic change [24]. By making these phenomena much clearer, it will be possible to simulate the behavior of the semiconductor surface in gaseous and liquid phases. Eventually extremely effective semiconductor production will be realized by using a virtual factory. The Si technology and the semiconductor technology are now changing and progressing to a new scientific stage wherein semiconductor-manufacturing technologies are provided with a scientific understanding of mechanisms of all processes. By introducing the newly developed radical-reaction-based semiconductor-manufacturing technologies, most disadvantages of the current molecule-reaction-based semiconductor-manufacturing have been overcome such as low-temperature transistor fabrications around 400–6008C (1000– 12008C at present), very-high-integrity gate insulators Si3N4 having very low leakage current by a factor of three orders of magnitude, very small flicker noise component by a factor of two orders of magnitude, and very high current drivability transistors by a factor of around four. At present, LSI device fabrications are limited only on (1 0 0) Si surfaces where high-integrity SiO2 films are obtained by thermal oxidations. But LSI device fabrications become available on any crystal orientation Si surface such as (1 1 0) Si surface by the radical-reaction-based semiconductor manufacturing, where the current drivability of pMOS transistors is enhanced by a factor of about 3 compared with that of pMOS transistors on (1 0 0) Si surface. The behavior of (1 1 0) Si surface in the ultrapure water is completely different from that of (1 0 0) Si surface, so that the very new wet chemical processings must be introduced to the (1 1 0) Si surface LSI manufacturing or the three-dimensional structure transistor integrations.
Surface Chemical Electronics at the Semiconductor Surface
33
REFERENCES 1. N.D. Lang and W. Kohn, Phys. Rev. B 3 (1971) 1215. 2. H. Kikuyama, M. Waki, I. Kawanabe, M. Miyashita, T. Yabune, N. Miki, J. Takano, and T. Ohmi, J. Electrochem. Soc. 139 (1992) 2239. 3. H. Kikuyama, M. Waki, M. Miyashita, T. Yabune, N. Miki, J. Takano, and T. Ohmi, J. Electrochem. Soc. 141 (1994) 366. 4. T. Ohmi, M. Nakamura, A. Ohki, K. Kawada, K. Hirao, and T. Watanabe, in: 23rd Annual Meeting of the Fine Particle Society, Proceeding Abstracts, Las Vegas, Vol. 2, 4th revised ed., 1992, p. 103. 5. T. Watanabe, M. Nakamura, A. Ohki, K. Kawada, S. Miyoshi, S. Takahashi, M.S.K. Chen, and T. Ohmi, In: 1992 International Conference on Solid State Devices and Materials, Extended Abstracts, 1992, p. 132. 6. T. Ohmi, M. Nakamura, and A. Ohki, J. Electrochem. Soc. 139 (1992) 2654. 7. Y. Shirai, M. Narazaki, and T. Ohmi, IEICE Trans. Electron. E79-C (1996) 385. 8. S. Takahashi, T. Watanabe, S. Miyoshi, A. Ohki, K. Kawada, M. Nakamura, M.S.K. Chen, and T. Ohmi, in: 182nd Electrochemical Society Meeting, Toronto, Extended Abstracts, 4th revised ed., Abstract No. 414, 1992, p. 612. 9. M.S.K. Chen, K. Kawada, S. Miyoshi, M. Nakamura, A. Ohki, S. Takahashi, T. Watanabe, and T. Ohmi, in: 182nd Electrochemical Society Meeting, Toronto, Extended Abstracts, 4th revised ed., Abstract No. 415, 1992, p. 614. 10. T. Ohmi, T. Imaoka, I. Sugiyama, and T. Kezuka, J. Electrochem. Soc. 139 (1992) 3317. 11. T. Ohmi, T. Imaoka, T. Kezuka, J. Takano, and M. Kogure, J. Electrochem. Soc. 140 (1993) 811. 12. T. Ohmi, M. Morita, A. Teramoto, K. Makihara, and K.S. Tseng, Appl. Phys. Lett. 60 (1992) 2126. 13. T. Ohmi, K. Matsumoto, K. Nakamura, K. Makihara, J. Takano, and K. Yamamoto, J. Appl. Phys. 77 (1995) 1159. 14. N. Miki, H. Kikuyama, I. Kawanabe, M. Miyashita, and T. Ohmi, IEEE Trans. Electron Devices 37 (1990) 107. 15. K. Kawada, H. Suzuki, Y. Maeda, and T. Ohmi, in: Proceedings 21st Symposium on ULSI Ultra Clean Technology, 1994, p. 352. 16. T. Watanabe, M. Nakamura, A. Ohki, K. Kawada, S. Miyoshi, S. Takahashi, M.S.K. Chen, and T. Ohmi, in: 1992 International Conference on Solid State Devices and Materials, Extended Abstracts, 1992, p. 132. 17. T. Ohba, S. Inoue, and M. Maeda, IEEE IEDM Tech. Dig., Washington, DC, Dec. 6 – 9, 1987, p. 213. 18. T. Ohba and W. Dig, in: Papers Presented at the 1988 1st Microprocess Conference, 1988, p. 122. 19. H. Morinaga, M. Suyama, and T. Ohmi, J. Electrochem. Soc. 141 (1994), 2834. 20. H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, IEICE Trans. Electron. E79-C (1996) 343. 21. N. Sato, Denkyokukagaku, Nittetsu Joho Center, Tokyo, 1993 (in Japanese). 22. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and M. Ohwada, J. Appl. Phys. 68 (1990), 1272. 23. T. Ohmi, Y. Nakagawa, H. Aomi, J. Takano, Rev. Sci. Instrum. 64 (1993), 2683. 24. T. Ohmi, J. Electrochem. Soc. 143 (1996) 2957. 25. Y. Saito, K. Sekine, M. Hirayama, and T. Ohmi, Jpn. J. Appl. Phys. 38 (1999) 2329. 26. Y. Saito, K. Sekine, N. Ueda, M. Hirayama, S. Sugawa, and T. Ohmi, in: 2000 Symposium on VLSI Tech. Dig. of Technical Papers, Honolulu, 2000, p. 176. 27. K. Sekine, Y. Saito, M. Hirayama, and T. Ohmi, IEEE Trans. Electron Devices 47 (2000) 1370. 28. K. Ryoo, W. Shindo, M. Hirayama, and T. Ohmi, J. Electrochem. Soc. 147 (2000) 3859. 29. T. Ohmi, S. Sugawa, K. Kotani, M. Hirayama, and A. Morimoto, Proc. IEEE 89 (2001) 394. 30. K. Sekine, Y. Saito, M. Hirayama, and T. Ohmi, IEEE Trans. Electron Devices 48 (2001) 1550. 31. S. Sugawa, I. Ohshima, H. Ishino, Y. Saito, M. Hirayama, and T. Ohmi, in: 2001 IEEE International Electron Devices Meeting, 2001, p. 37.3.1. 32. T. Goto, H. Yamauchi, T. Kato, M. Terasaki, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, Jpn. J. Appl. Phys. 43 (2004) 1784. 33. T. Ohmi, K. Kotani, A. Teramoto, and M. Miyashita, IEEE Electron Device Lett. 12 (1991) 652. 34. T. Ohmi, M. Miyashita, M. Itano, T. Imaoka, and I. Kawanabe, IEEE Trans. Electron Devices 39 (1992) 537. 35. H. Mishima, T. Yasui, T. Mizuniwa, M. Abe, and T. Ohmi, IEEE Trans. Semicond. Manuf. 2 (1989) 69. 36. H. Mishima, T. Ohmi, T. Mizuniwa, and M. Abe, IEEE Trans. Semicond. Manuf. 2 (1989) 121.
2
Principles of Semiconductor Device Wet Cleaning Hitoshi Morinaga Tohoku University, Sendai, Japan
CONTENTS I. II. III. IV.
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Outline of Current Wet Cleaning and Roles of Major Chemicals . . . . . . . . . . . . . . . . Functions Essential for Cleaning Trace Contaminants . . . . . . . . . . . . . . . . . . . . . . . . Cleaning Mechanism of Metallic Contamination . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Desorption of Metallic Contaminants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Significance to Prevent Contaminants in the Cleaning Solutions from Redepositing on the Substrate Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Mechanism of Metallic Contaminant Adsorption . . . . . . . . . . . . . . . . . . . . . . . . 1. Electrochemical Adsorption of Noble Metals . . . . . . . . . . . . . . . . . . . . . . . 2. Metal Adsorption in Alkali Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Technology to Prevent Metallic Contaminants from Depositing on Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Prevention of Electrochemical Adsorption of Noble Metals . . . . . . . . . . . . 2. Prevention of Metallic Contaminant Adsorption in Alkali Solution . . . . . . E. Removal of Metallic Contaminants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Cleaning Mechanism of Particulate Contamination . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Mechanism of Particle Deposition in Solution . . . . . . . . . . . . . . . . . . . . . . . . . . B. Technology to Prevent Particle Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Removal of Particulate Contaminants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VI. Cleaning Mechanism of Organic Contamination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VII. Improvement of Cleaning Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VIII. Optimization of Cleaning Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IX. Cleaning Issues to Note in Each Stage of ULSI Manufacturing Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Cleaning Steps in FEOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Cleaning Steps in BEOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
35 36 37 38 38 39 40 40 43 44 45 48 48 50 50 51 52 54 55 56 56 56 57 57 57
I. INTRODUCTION The advanced manufacturing process of ultra large-scale integration (ULSI) and flat panel display (FPD), in which circuits are fabricated in the nanometer order, is constantly engaged in battles against contamination. Even in the most advanced manufacturing process armed with cleanroom, 35
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
36
process tools, and materials all of which feature uppermost cleanliness, the surface of substrates and devices being processed is always exposed to contamination introduced through various sources. Potential contaminants include particle and metallic impurity derived from chemical mechanical polishing (CMP) process, photoresist residues, particles generated by sliding parts of process tools, and organic impurity derived from outgassing components coming out of cleanroom interiors. These contaminants need to be removed before being carried over to subsequent process steps as they potentially deteriorate the yield and reliability of final devices. Wet cleaning or dry cleaning is employed as a means to remove these contaminants. In ULSI-manufacturing line, more than 100 cleaning steps are performed, which account for about 25% of the entire process. At a temperature of about 1008C (the highest), wet-cleaning is capable of dissolving or dispersing most substances into the liquid solution and it causes no damages to the substrate surface. As it features such outstanding characteristics, wet-cleaning grows in importance and value even in the current device-manufacturing process which has significantly shifted toward the dry process. Cleaning process is required to achieve (i) ultraclean surface (ii) without any adverse side effects (iii) within a short period of time (iv) with high reproducibility (v) at low cost. The requirement by the industry is becoming more severe along with further integration of ULSI. Cleaning technology, therefore, must be innovated to meet the ever-increasing requirements. Technology innovation is always supported and facilitated by correct understanding of its underlying mechanism. This chapter will discuss adsorption/desorption mechanisms of trace contaminants, principles of semiconductor cleaning based on the mechanisms, the cleaning issues to note, and the strategies to improve cleaning effectiveness. Also, the author will focus on ULSI cleaning because ULSI is expected to feature extremely high cleanliness.
II. OUTLINE OF CURRENT WET CLEANING AND ROLES OF MAJOR CHEMICALS Contaminants are morphologically categorized into four groups: particles, metallic contaminants, organic contaminant, and unintended native oxide. Cleaning process is required to thoroughly remove these contaminants without inducing any adverse side effects such as excessive etching, increase of surface microroughness, and pattern damage. The current ULSI wet-cleaning technology is based on the so-called RCA cleaning published by Kern and Puotinen of RCA, Inc. in 1970 [1]. Table 2.1 shows a process flow of a typical current ULSI wet-cleaning based on the RCA cleaning. It also shows characteristics of each cleaning solution. These cleaning steps use ultrahigh-purity chemicals and ultrapure water in which metallic contaminant is reduced to the ppt order (1/1,000,000 of ppm) or less. H2O2 used in SPM (H2SO4/ H2O2), HPM (HCl/H2O2/H2O), and APM (NH4OH/H2O2/H2O) is a strong oxidizing agent. H2O2 oxidizes and decomposes contaminants to raise the removal efficiency, and oxidizes the top surface of Si substrate to form a passivation (SiO2) film (thickness 1 nm). HCl, a typical strong acid,
TABLE 2.1 Typical Wet-Cleaning Sequence Based on the RCA Cleaning Method Cleaning Target and Adverse Effect of Each Cleaning Cleaning Solutions H2SO4/H2O2 (SPM) NH4OH/H2O2/H2O (APM) HCl/H2O2/H2O (HPM) HF/H2O (DHF)
Cleaning Targets
Adverse Effects (Re-adsorption)
Organic (photoresist), Metals Particles Metals (on surface) Oxide, Metals (in oxide)
Particles Metals Particles Noble metals (Cu, etc.), Particles
Principles of Semiconductor Device Wet Cleaning
37
dissolves oxidized metals (oxides, hydroxides, and ions). H2SO4 decomposes organic contaminant and HF dissolves SiO2, whereas these two acids can also dissolve oxidized metals. NH4OH, the only alkali component, not only dissolves organic contaminants but also removes insoluble particle (the mechanism of action will be discussed later). The biggest problem of this RCA-based wet-cleaning method is its side effects shown in Table 2.1: a contaminant is being removed, whereas another contaminant gets redeposited on substrate surface. For example, APM cleaning is extremely effective in removing particle and organic contaminant, but it allows metallic contaminant to redeposit on substrate surface wherever the APM solution contains traces of metal ions. As each cleaning step has specific side effects, the only way to overcome this problem is to perform a multistep cleaning by using multiple cleaning solutions. It is also necessary to frequently replace cleaning solutions to reduce redeposition of contaminants as much as possible. Owing to these constraints, the RCA-based wet-cleaning method (i) has a number of cleaning steps, (ii) consumes large amount of chemicals or ultrapure water, (iii) needs large-sized process tools, and (iv) is hard to improve for higher cleanliness due to contaminant redeposition. In addition, this method (v) cannot be applied to metal surface as it uses H2O2, strong acids, and strong alkali which corrode metal materials such as Al, W, and Cu. Although out organic solvent or ultrapure water can be used for post-metalization cleaning, their cleaning performance is not sufficient. Reduction of pattern size and increase of number of interconnect layers are important in these devices, in addition, it becomes increasingly important to improve the cleaning performance. At the same time, to decrease resistance and increase speed, the gate electrode needs to be made from metal materials, to which the RCA-based wet cleaning cannot be applied. Ever since 1990 when these intrinsic problems of the RCA cleaning started be reported, indepth studies to reveal the mechanisms of trace contaminant cleaning have been performed in an attempt to address contaminant redeposition and to improve wet-cleaning performance [2 – 36]. Section III to Section VI present essential points of the mechanisms of trace contaminant cleaning.
III. FUNCTIONS ESSENTIAL FOR CLEANING TRACE CONTAMINANTS [11,26] Figure 2.1 shows three essential functions for removing trace contaminants. First, the contaminant needs to get desorbed from the substrate surface (Function 1). To efficiently achieve an ultraclean surface, it is necessary to prevent the contaminant in the cleaning solution from redepositing on the substrate surface (Function 2). To remove the contaminant included in the film or to remove the
FIGURE 2.1 Three essential functions for cleaning trace contaminants.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
38
contaminant which forms a strong chemical bond with film surface, the underlying film needs to be slightly etched (Function 3). Taking metallic contamination as an example, the author will describe significance and effectiveness of the three functions first. Then the cleaning mechanism of particles and organic contaminants will be discussed.
IV. CLEANING MECHANISM OF METALLIC CONTAMINATION [8–12] A. DESORPTION
OF
METALLIC CONTAMINANTS
For soluble metallic contaminants, its desorption from substrate surface (Function 1) can be achieved by making the metallic contaminant dissolved onto the solution. Parameters which are important for dissolution of metallic contaminant include pH and redox (reduction – oxidation) potential of the cleaning solution and the complexing agent, these are the basic parameters. Redox potential is well known, in the field of solution chemistry, as a parameter to indicate oxidizing capability and reducing capability of solution. Potential of Hþ redox reaction is set at 0 V as a reference. Those with stronger oxidizing capability (to take electron) are to feature positive redox potential, and those with stronger reducing capability (to give electron) are to feature negative potential. Usually V versus NHE is used as unit of redox potential. (It means potential against Hþ potential as a reference.) Potential –pH diagram (or also referred to as Pourbaix diagram after a scientist who proposed it) is a matrix of pH and redox potential, showing the state of metals (atom, ion, hydroxide, oxide, etc.) in thermodynamic equilibrium calculated with equilibrium constant. Potential –pH diagram is widely used in inorganic chemistry, particularly in the field of metal corrosion [37]. This diagram is also useful when the dissolution of metallic contaminants in solution is studied. Figure 2.2 shows potential –pH diagrams for Cu – H2O, Fe – H2O, and Al –H2O systems. For a diphasic system comprising a metal and an aqueous solution, the metal gets dissolved when, the pH and redox potential of the solution are controlled in such a way as to fit into the dissolution region (shadowed region) in the diagram. In a solution featuring low pH and high redox potential, the metal is dissolved in the form of its cation. In a weak alkali solution, the metal gets precipitated as hydroxide. In a solution with no oxidizing capability, metal gets precipitated in a metallic state (metallic atom). These phenomena are very general, commonly observed for a number of other metals. Redox potential boundary in Figure 2.2 where the metallic atom turns into a metallic ion is varied, depending on the type of Cu : 1ppm in Water
2
Fe : 1ppm in Water
2
Al : 100ppm in Water
2
Dissolution
1.5
1.5
E (V vs. NHE)
1
2+
HCu(OH) 4
Cu
Cu(OH)2
Cu(OH)
1
FeOH
2+
Fe(OH)
0
(a)
Cu
(b)
Fe(OH)3 Fe
Fe(OH) 4
2+
−0.5
−1
0.5
+
2
(b)
−0.5
−1.5
1
Fe3+
0.5
0
HFe(OH) 4
Fe(O
Al(OH)4
-
(b)
HFe(OH)4-
−1 −1.5
Al(OH)3
−0.5
H)
2
Al3+
0
-
(a)
Fe
−1
−2
−2
−2
−2.5
−2.5
0 2 4 6 8 10 12 14
(a)
−1.5
−2.5
0 2 4 6 8 10 12 14
Dissolution
Dissolution
2-
4
0.5 Cu O 2
1.5
Dissolution
Al
0 2 4 6 8 10 12 14
pH
pH
pH
(a) Cu-H2O system
(b) Fe-H2O system
(c) Al-H2O system
FIGURE 2.2 Potential-pH diagram (Pourbaix diagram) for three typical metals (at 258C).
Principles of Semiconductor Device Wet Cleaning
39
TABLE 2.2 Redox Potential where Matallic Atoms are Ionized (Standard Reduction Potential: E 0) Standard Reduction Potential E 0 (V vs. NHE)
Element Auþ/Au Pt2þ/Pt Agþ/Ag Cu2þ/Cu
1.692 1.188 0.799 0.337
Hþ/H2
0.000
Pb2þ/Pb Ni2þ/Ni Fe2þ/Fe SiO2/Si Al3þ/Al Ca2þ/Ca Naþ/Na Kþ/K
–0.126 –0.250 –0.440 –0.857 –1.663 –2.866 –2.714 –2.924
metal as shown in Table 2.2 [37]. (Precisely speaking, potential shown in Table 2.2 slightly changes, depending on the metallic ion concentration and temperature. This is why redox potential of Cu is slightly different between Table 2.2 and Figure 2.2: Cu of 1 ppm.) When the list shown in Table 2.2 is rearranged to start from the one with the lowest redox potential, it will show the ionization tendency of metallic atoms. Au is harder to ionize than Fe and Al. An oxidizing agent with a redox potential of 1.69 V or more versus NHE is required to ionize Au. Depending on the type of metal, pH boundary where the metallic ion turns into hydroxide changes as well. In particular, Fe3þ is a typical metal which turns to hydroxide even at low pH. It gets precipitated at pH of 2 or higher. To dissolve the metals containing Au or Fe, a solution with a strong acidic oxidizing agent having a redox potential higher than 1.69 V versus NHE and a pH lower than 2 are needed. Figure 2.3 shows pH and redox potential of various solutions (both theoretical and experimental values). By adding acid, alkali, oxidizing agent, and reducing agent, it is possible to control the pH and the redox potential of the solution. Using Figure 2.2 and Figure 2.3, we can estimate whether the metals are dissolved or precipitated in various solutions. HPM and SPM solutions, both feature extremely low pH and high redox potential as they are mixtures of a strong acid and a strong oxidizing agent, and are capable of dissolving most metals. This means that these solutions have the first essential functional quality as the cleaning solution for metallic contaminants (Function 1).
B. SIGNIFICANCE TO PREVENT CONTAMINANTS REDEPOSITING ON THE SUBSTRATE SURFACE
IN THE
CLEANING SOLUTIONS
FROM
To achieve an ultraclean substrate surface with high reproducibility, it is essential to prevent contaminants in cleaning solution from redepositing on substrate surface (Function 2). Figure 2.4(a) shows remaining contaminant concentration on a substrate surface which is intentionally contaminated with metallic contaminants and then cleaned with various cleaning solutions [10]. Figure 2.4(a) clearly indicates that APM cleaning is not effective in removing Al or Fe and that diluted HF (DHF) cleaning hardly removes Cu. Figure 2.4(b) shows how much metallic contaminants redeposit on Si substrate surfaces from the various cleaning solutions contaminated with metals at 100 ppb [10]. A comparison between (a) and (b) of Figure 2.4 indicates that the
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
40
2
E (V vs. NHE)
1.5
UPW
HCI
H2SO4
HNO3
KOH
Oxidizing Agent (+ Acid)
Reducing Agent
DHF
SPM HPM(SC-2) H2SO4/O3 (10%/10ppm) H2SO4/O3 (100ppm/10ppm) HCI/KCIO 03 UPW HIO3
1
(b) O
DHF
2
0.5
APM(SC-1)
+ 4H +
UPW
Hig h Acid C
Low entr atio n
onc
+ 4e −
2H
2O
Low O2 UPW KOH
0 High H2 UPW Na2S2O4
−0.5
(a) 2 + H
+ 2e −
−1 0
2
4
6 pH
8
10
12
H2
14
: E of SPM, HPM and APM is calculated by using E° of H2O2
FIGURE 2.3 The pH level and redox potential (E) of various solutions (E of SPM, HPM, and APM are calculated. Others are measured (From H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 585 – 592, 2000.)
dissolution and redeposition behaviors are very similar, suggesting that capability to prevent a contaminant in a cleaning solution from redepositing (on substrate surface) should be a dominant factor of contaminant removal performance. Another important issue to note is that Cu and Al redeposit on substrate surface although Cu is dissolved in DHF as Cu2þ and Al is dissolved in APM as Al(OH)2 4 as shown in Figure 2.2 and Figure 2.3. This means that a simple metal dissolution function is not sufficient to prevent Cu and Al from redepositing on substrate surface. These metallic ions, which though dissolved in the cleaning solution, do redeposit on substrate surface because they chemically react with substrate surface. To overcome this problem, therefore, the chemical reaction responsible for the deposition must be suppressed. It is important to precisely understand the reaction mechanism.
C. MECHANISM
OF
METALLIC CONTAMINANT ADSORPTION
Electrochemical adsorption of noble metals and metal adsorption in alkali solution are the two primarily important adsorptions in wet-cleaning process, as shown in Table 2.3. The mechanism of the two adsorptions will be discussed below. 1. Electrochemical Adsorption of Noble Metals In acidic solutions, metals are unlikely to deposit onto the substrate surface in general because the metal is dissolved in the form of the cations. Cu ion in DHF, however, is highly likely to deposit on
Principles of Semiconductor Device Wet Cleaning
Metal Remaining (atoms/cm2)
(a)
Metal Adsorption (atoms/cm2)
(b)
41
1015 1014 1013 1012
Dipping Time: 10min
Cu Fe
Cu
Al
1011 1010 109
Initial
1015 1014
Al
APM
HPM
SPM
Al Fe DHF
Dipping Time: 10min Metal : Fe, Al,Cu,Ca,Na,Cr, Zn, Ni, and Mg of 100ppb each
1013 Fe 1012
Cu
Cu
1011 1010
Al Fe
109 APM
APM : NH4OH/H2O2/H2O= 1/1/30, 60ºC, SPM : H2SO4/H2O2= 4/1, 100ºC
HPM
SPM
DHF
HPM : HCl/H2O2/H2O = 1/1/6, 60ºC DHF : 0.5%HF, RT
FIGURE 2.4 The effect of various cleaning solutions on the metallic contaminations. (a) Removal of metallic contamination with various cleaning solutions. Initial contamination was deposited from APM with metals. (b) Metal deposition onto Si surfaces from various cleaning solutions containing metallic impurities. (From H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 585– 592, 2000.)
Si surface (Figure 2.4(b)). This tendency is common among noble metals such as Au, Ag, and Cu which have redox potential higher than hydrogen. Noble metal deposition to Si surface takes place not only in HF cleaning step but also in other steps in which bare Si surface (oxide-free Si surface) is exposed to cleaning solution. Figure 2.5 shows the mechanism of noble metal adsorption to bare Si surface that has been revealed as a result of the investigations [8,9]. In Figure 2.5, Cu is taken as an example. Cu2þ features far higher redox potential (capability to take electron) than Si. Cu2þ, therefore, pulls out electron from Si surface to get reduced, and becomes Cu atom to adsorb onto Si surface. The first Cu atom that adsorbs on the surface functions as a nucleus, and Cu2þ in solution takes electron from Si through the nucleus one after another to get precipitated. In this way, Cu grains grow in size as shown in Figure 2.6. In the meantime, Si underneath the Cu grains, giving as much electrons as
TABLE 2.3 Adsorption Mechanisms of the Typical Metallic Contamination in Solutions [10] Adsorption Mechanisms Electrochemical adsorption ¼ oxidation–reduction reaction Hydroxide adsorption ¼ dehydration reaction
Metals
Solutions
Surfaces
Cu, Ag, Au, . . . (noble metals)
DHF, Water Alkali Polishing slurry (without oxidizer)
Al, Fe, Zn, . . . (metal hydroxides)
Alkali, Water
Bare Si SiO2 (including p-Si, a-Si); Generally: base metals SiO2 . Bare Si; Generally: OH-terminated surfaces
42
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 2.5 Mechanism of Cu adsorption onto Si surfaces in solutions. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343 – 362, 1996. With permission.)
required for Cu grain growth, gets oxidized to turn to SiO2. In HF solution, SiO2 is etched right after being formed to generate pits (MIP, metal-induced pit) [12] (Figure 2.7). As described above, a noble metal is highly likely to deposit onto a bare Si surface because the noble metal with high redox potential directly takes electron from Si and gets electrochemically deposited onto Si surface. Cu unlikely deposits on SiO2 surface although it is extremely apt to get deposited on bare Si surface in HF solution (Figure 2.8). This is because even Cu2þ with high redox potential cannot take electron from SiO2 surface. As shown in Figure 2.5, deposition starts from the moment when one Cu2þ takes electron from Si to form a nucleus. It is speculated that the nucleation takes place in a region of Si surface which is electrically active in particular. Based on the study of the Cu behavior, it is reported that Si surface area where hydrogen termination is not complete is used as a nucleus to trigger Cu deposition from DHF or ultrapure water to bare Si surface [8].
Initial
30 sec
90 sec
180 sec
0.3 µm
FIGURE 2.6 SEM images of the Cu particle growth process on the Si surfaces. (From 0.5% HF solution containing 1 ppm Cu using CuCl2). (H. Morinaga, M. Suyama, and T. Ohmi, J. Electrochem. Soc., 141, 2834– 2841, 1994. With permission.)
Principles of Semiconductor Device Wet Cleaning
43
FIGURE 2.7 AFM image of the MIPs on the Si surface made by the Cu adsorption process from DHF(0.5%) solution. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343– 362, 1996; H. Morinaga, M. Suyama, and T. Ohmi, J. Electrochem. Soc., 141, 2834– 2841, 1994. With permission.)
2. Metal Adsorption in Alkali Solution [10] In alkali solution such as APM and neutral ultrapure water, not only noble metals but also other metals such as Fe and Al are likely to deposit on substrate surface (see Figure 2.4(b)). In alkali solution with pH 10 – 11 (like APM), most of Fe and Al exist in a form of Fe(OH)3 and Al(OH)2 4, respectively (Figure 2.2). What should be noted is that Al exists as Al(OH)2 4 , a water-soluble hydroxide ion in the solution. In other words, it is not possible to rely on such a simple speculation as insoluble hydroxide which is commonly formed in alkali solution gets deposited on substrate surface. Morinaga et al. considered that surface chemical reaction between Si surface and metal induces this adsorption, and investigated the influences of Si surface conditions on metal adsorption. Figure 2.9 indicates that Fe, Al, and Zn are more likely to adsorb on oxide surface than on bare Si surface in both neutral and alkali solutions, that is, in the region where metal hydroxide is formed. Especially, this tendency is obvious in the case of Al adsorption. (Cu is the only exception. More Cu adsorption is detected on bare Si surface. This is because another mechanism described earlier above, that is, electrochemical adsorption mechanism, works for Cu adsorption. In the region with pH 10.5 where copper hydroxide is formed, however, Cu adsorption to oxide surface increases.)
Si
SiO2
FIGURE 2.8 SEM images of selective Cu deposition on the surfaces with Si and SiO2 from a DHF (0.5%) solution (with 100 ppm Cu using CuCl2). The dipping time is 60 min. (From H. Morinaga, M. Suyama, and T. Ohmi, J. Electrochem. Soc., 141, 2834– 2841, 1994. With permission.)
Metal Adsorption (atoms/cm2)
1014 1013
Ultrapure Water Metal : 10ppb each RT, 10min pH= 6
Bare Oxide
1012 1011 1010 Fe
Al
Cu
(1) Ultrapure Water [Pre-treatment]
Zn
Metal Adsorption (atoms/cm2)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
44
1014 1013
Bare = Si-H Oxide = Si-OH
Alkali (KOH:0.12%) Metal : 10ppb each RT, 10min, pH= 10.5
1012 1011 1010 Fe
Al
Cu
Zn
(2) Alkali (pH 10.5)
Bare : SPM=> HF=> UPW Rinse=> (within 30min) Adhesion Experiment Oxide: SPM=> HF=> SPM=> UPW Rinse=> Adhesion Experiment
FIGURE 2.9 Influence of Si surface conditions (bare or chemical oxide) on metal adsorption from ultrapure water and alkali solution with metals (Fe, Al, Cu, Ca, Na, Cr, Zn, Ni, and Mg of 10 ppb each are added). (From H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 585– 592, 2000. With permission.)
In the neutral-to-alkali region, the oxide surface is covered with OH group bound with Si (silanol group). In contrast, HF-pretreated bare Si surface is terminated with hydrogen. The results shown in Figure 2.9 suggest that the OH termination of Si surface play a dominant role in the adsorption of metal hydroxide. Taking Al(OH)2 4 adsorption onto OH-terminated Si surface (Si –OH group) as an example, Morinaga et al. have built a reaction model based on the assumption that a dehydration reaction takes place between Si –OH group and the OH group bound with metal (Figure 2.10(a)), and they calculated the change of potential energy during the adsorption reaction by using quantum chemical calculation (with density functional theory). Figure 2.10(a) indicates that first adsorption state is energetically very stable and activation energy of adsorption reaction is low enough to pass at room temperature. Generally in a chemical equilibrium, the state after adsorption is more stable than that before adsorption. (The potential energy decreases due to adsorption.) For comparison, the change of potential energy was also calculated for adsorption onto the hydrogen-terminated Si surface. This calculation has revealed that the activation energy is extremely high and that state after adsorption is unstable in terms of equilibrium (Figure 2.10(b)). (The potential energy after the adsorption is higher than that before adsorption.) The reaction models and the calculation results indicate that metal hydroxide is by far more likely to adsorb on OH-terminated surface than hydrogen-terminated surface. In Figure 2.9, more Al adsorption onto bare Si surface is observed in alkali solution (pH 10.5) than in ultrapure water. This is considered because hydrogen termination of Si surface is partially replaced by OH termination in the alkali solution. Fe and Zn adsorption on oxide surface in the alkali solution is much more than that in the ultrapure water. When pH level of the solution is neutral, iron hydroxide and zinc hydroxide are neutral: Fe(OH)3 and Zn(OH)2. When pH level goes up to around 10.5, however, iron hydroxide and zinc hydroxide partially or mostly turn to 2 2 ionic hydroxide: Fe(OH)2 4 , Zn(OH)3 , and Zn(OH)2 . The fact that more Fe and Zn adsorbed on the surface in the alkali solution with pH of 10.5 implies that dehydration reaction is more likely to take place between ionic hydroxide and the OH-terminated surface.
D. TECHNOLOGY
TO
PREVENT METALLIC CONTAMINANTS FROM DEPOSITING
ON
SURFACE
Once the adsorption mechanism is revealed, it becomes possible to develop a technology to prevent deposition. For example, noble metal adsorption on bare Si surface takes place due to redox
Principles of Semiconductor Device Wet Cleaning
45
FIGURE 2.10 A model for the metal adsorption in alkali solution. (a) Change of atomic configurations and 2 potential energies when Al(OH)2 4 adsorbs onto OH-terminated Si surface. (b) In the case of when Al(OH)4 adsorbs onto H-terminated Si surface. (Results of quantum chemical calculation with density functional theory.) (From H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 585 – 592, 2000. With permission.)
reaction between the noble metal ion and Si surface. This means that the adsorption can be suppressed by preventing this redox reaction by suitable means. Technologies to prevent metallic contaminant adsorption which is designed on the basis of the adsorption mechanisms will be described in the following sections. 1. Prevention of Electrochemical Adsorption of Noble Metals When a chemical reaction between a metallic ion and Si does not contribute to metallic contaminant adsorption, by using a diphasic potential – pH diagram shown in Figure 2.2, it is possible to obtain potential – pH conditions of a solution that can prevent metallic contaminant adsorption. For metallic contaminant adsorption induced by a chemical reaction between a metallic ion and Si surface, however, the diphasic potential –pH diagram cannot be applied as it is. Instead it is necessary to study a new potential –pH diagram of the three-phase system (metal – water –Si surface) [8]. Figure 2.11 experimentally analyzes which potential –pH region actually prevents noble metal adsorption onto Si surface. It is revealed that Cu adsorption is suppressed in the region of pH 7 or less and a redox potential of 0.75 V or more versus NHE. To prevent noble metal adsorption, it is essential not to allow redox reaction between noble metal ion and Si to take place. For this purpose, it is effective to cover Si surface with oxide or to spike an acid or an oxidizing agent which is stronger than noble metal ion in terms of activity to take electron (i.e., which features higher redox potential than noble metal ion). The results shown in Figure 2.11 indicate that Cu adsorption is suppressed as redox potential exceeds 0.75 V versus NHE due to addition of acid or oxidizing agent. This is because Si, reducing agent, raises the Cu/Cu2þ boundary line. In Cu –aqueous solution system, Cu is dissolved in it form of Cu2þ when the solution has pH 7 or less and a redox potential of 0.2 V versus NHE or more. When Si enters into this system, Cu2þ is reduced by Si, and accordingly Cu gets deposited on Si wafer surface. This phenomenon, however, is strongly affected by redox potential. To be more
46
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Cu: 1ppm (using CuCI2), Dipping Time: 3min 2.5
2
Cu Deposition (atoms/cm2)
≥1E+14
1E+13-14
1E12-13
1E+11-12
1E+11>
1.5
E (V vs. NHE)
Cu2+ 1
0.5
HCuO2-
Cu(OH)2
CuO22-
Cu-Si-Water Cu Cu
2O
Cu-water
0 −0.5
Cu
−1 −1.5
0
2
4
6
8
10
12
14
pH
FIGURE 2.11 The effect of pH level and redox potential of solutions (ultrapure water with various chemicals added) on the Cu adsorption onto the Si surface. The amount of adsorbed Cu is described with the size of solid square. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343 –362, 1996; H. Morinaga and T. Ohmi, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257 – 268, 1996. With permission.)
specific, this phenomenon is affected when a strong oxidizing agent is injected to the solution and redox potential exceeds 0.75 V versus NHE. Figure 2.12 shows the mechanism of preventing Cu deposition by raising redox potential with O3 (ozone) as an example. Without ozone, Cu2þ ion receives electrons from Si and gets deposited. When O3 is injected into the Cu – water system, it is O3 that takes electrons from Si with a higher thermodynamic driving force than Cu2þ. Therefore, Si surface is oxidized by O3, and copper remains in the solution in the form of Cu2þ ions. Per chance, even if Cu gets deposited on Si surface, its electron is taken by O3, when copper becomes ionized (dissolved) again. Furthermore, when Si surface is oxidized and covered with oxide, electron exchange between Cu2þ ion and Si is suppressed, which makes it more difficult for Cu to deposit. In HF solution, no oxide grows on Si surface. To prevent Cu deposition, therefore, redox potential in HF solution needs to be 0.85 V, which is 0.1 V higher than in the other solutions [8,9]. Figure 2.13 demonstrates that injection of O3, H2O2, high-concentration H2SO4, HCl, or HNO3 to DHF makes its redox potential rise to 0.85 V or more and thereby suppresses Cu deposition on bare Si surface [8,9]. Fe and Al in DHF hardly deposit on bare Si surface (Figure 2.4). This is because redox potential of these metals is lower than that of Hþ in DHF (Figure 2.12) and therefore they are ionized by oxidizing capability of Hþ even if no oxidizing agent is spiked. Relationship between redox potential E (V versus NHE) and energy level of electron based on infinity electron in vacuum (real potential), ae (eV), can be expressed as [38]
ae ¼ (E þ 4:44)
Principles of Semiconductor Device Wet Cleaning
47
FIGURE 2.12 Mechanism of preventing Cu deposition by oxidizing agent (with ozone as an example). E 0 (standard redox potential) and ae (real potential) of typical metals and oxidizing agents are also shown for reference. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343 – 362, 1996; H. Morinaga and T. Ohmi, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257 – 268, 1996. With permission.)
Cu Deposition ( atoms / cm2)
1E+16
1E+15
Bare - Si , N (100) Cu : 1 ppm ( using /CuCI2) Dipping Time : 3 min
Redox Potential (V vs. NHE) 0.83
1E+14
1E+13
1E+12
0.91
0.89
1.53
0.90 (1.67)
1E+11
1E+10 DHF (0.5%)
HF/HCI HF/H2SO4 HF/HNO3 HF/H2O2 HF/O3 (0.5% / 10%) (0.5% / 10%) (0.5% / 10%) (0.5% / 10%) (0.5% / 10ppm)
Dipping Solutions
FIGURE 2.13 The Effect of adding various acids and oxidizing agents in preventing Cu deposition onto the Si surface from DHF solution. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343 – 362, 1996; H. Morinaga and T. Ohmi, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257 – 268, 1996. With permission.)
48
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Figure 2.12 also shows ae values converted from this equation. The ae value can be regarded as the Fermi level of solution. 2. Prevention of Metallic Contaminant Adsorption in Alkali Solution Alkali solution cleaning such as APM cleaning is widely adopted in the cleaning processes of ULSI and FPD, as it can effectively remove particulate and organic contaminants. As described before, however, alkali solutions allow metallic contaminants to adsorb on substrate surface. Metal adsorption during APM cleaning is one of the most serious metallic contaminations in ULSI-manufacturing process, and a number of papers have reported its negative impacts on device performance. For instance, if APM cleaning is adopted as a final cleaning, breakdown voltage [39] and thickness [40] of gate oxide get deteriorated due to metallic contamination. It is also reported that Fe deposition during APM cleaning causes local microroughness increase on Si surface [14,15] and that once metal gets deposited on the bottom of contact hole with diameter of 0.2 mm, or less, it cannot be removed even in a subsequent acid cleaning [17]. Technology to effectively prevent metal deposition during alkali cleaning becomes increasingly important. As described before, the metal adsorption in the alkali solution is caused by the dehydration reaction between metal hydroxide and OH-terminated surface. This means that the metal adsorption can be eliminated by preventing metal hydroxide from being formed. Injection of chelating agent is effective to prevent metal hydroxide formation. It is proposed to spike a chelating agent to APM and other alkali cleaning solutions [10,26,41–44]. “Chelate” is a Greek word meaning crab claws. Just like a crab catching a food, the chelating agent surrounds a metallic ion to form a metal complex to make it soluble. Traditionally chemical industry has been widely using chelating agents such as EDTA to prevent formation of metal hydroxide. Their application, however, has been limited to a few metals such as Fe and Ca. For example, EDTA is not effective in preventing Al hydroxide formation in alkali solution, which does not a matter at all as far as the traditional applications in the chemical industry are concerned. In ULSI wet-cleaning process, however, the chelating agent is expected to prevent deposition of various metals such as Al, Fe, Zn, Cu, Co, and Ni. It is also important to assess the purity of chelating agent itself and its impacts on manufacturing process. Recently, new chelating agents meeting these specific needs of ULSI wet process have been designed and adopted [10,26]. Figure 2.14 shows how effectively a chelating agent injected to APM with 1 ppb metallic contamination can prevent metal adsorption to the substrate surface. In APM cleaning process using the conventional NH4OH solution, metals such as Fe, Al, Cu, and Zn adsorb on the substrate surface in large amount. However, when NH4OH solution with spiked a chelating agent is used, Al adsorption, which is usually detected in large amount, is reduced to less than 1/100, and adsorption of other metals decreases below the detection limit.
E. REMOVAL OF METALLIC CONTAMINANTS With the function of metal dissolution (Function 1) and the function of redeposition prevention (Function 2) described earlier, it is possible to remove metallic contamination on substrate surface, provided that each reaction reaches the chemical equilibrium. The remaining matter is about how to reduce reaction time or cleaning time. To overcome this problem, it is necessary to take appropriate actions such as to raise temperature to activate the reactant chemicals, to increase concentration of chemicals thus raising the probability for chemical species and contaminant to come in contact with each other, to fluidize the solution, or to rotate substrate at a high speed. When these actions fail to get metallic contaminants completely removed, it is speculated that the contaminant might form a strong chemical bond with the film or that it might have been included in the film. Cu and Al easily get diffused into SiO2 film, and invade into the film even during room-temperature wet-cleaning process [8,18]. To remove this kind of a metallic contaminant, it is necessary to etch the film (Function 3). For example, DHF and APM are effective in etching the top surface of SiO2 film. Here again, the above-mentioned Functions 2 and 3 are
Principles of Semiconductor Device Wet Cleaning
H − +
Fe3
OH
−
−
1012
OH +
Al3
1011
OH−
1010 109 Fe
Al
Cu
Zn
Cr
Ni
Mg
Na
H O
–
Metal Adsorption (atoms/cm2)
1013
APM (NH4OH) APM (MC1)
Alkali/H2O2/H2O (APM) = 1/1/30 45ºC, 10min, Metal: 1ppb
O
1014
49
–Si–
MC-1 = NH4OH 29% + Chelating agent
FIGURE 2.14 The effectiveness of adding chelating agent in preventing metal adsorption from APM with metals of 1 ppb added. Comparison of the conventional APM (NH4OH/H2O2/H2O) and chelate-added APM (MC1/H2O2/H2O) (MC1, chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan).
very important. The film etching cannot be effective enough unless the metal contaminant in the film is thoroughly dissolved and it is prevented from redepositing on, the surface during etching [8 – 10]. Figure 2.15 compares various cleaning methods in terms of effectiveness of removal of Cu contamination. Generally, Cu contaminant is included in the oxide film. SPM cleaning cannot remove Cu contamination perfectly even if it is repeated for four times. For DHF cleaning, although the oxide itself can be removed with DHF, Cu contamination still remains on surface because dissolved Cu2þ ion redeposits on bare Si surface. When DHF or H2O2 solution is used to etch the oxide, Cu contamination can be reduced almost to its background level. As discussed above, it is important to select a cleaning solution that provides the three functions, namely desorption, prevention of re-adsorption, and slight etching. Recently proposed solutions such as DHF/H2O2 [45], DHF/HCl [8,9,20,46], DHF/O3 [8,9], and APM with chelating
Cleaning Solution
Blank Initial SPM Bare-Si, N(100) [Blank]
SPM×4
Cu Deposition [Initial]
SPM+DHF SPM+HF/H2O2 (0.5% /10%)
Cu:1 pm in UPW Dip:3 min
Cleaning (10 min) 1E+10 1E+11 1E+12 1E+13 1E+14 1E+15 1E+16 Cu Remaining (atoms/cm2)
FIGURE 2.15 The effect of various cleaning methods on the Cu removal from the Si surface [8,9]. Some of the Cu is included in an oxide on the Si surface. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343 – 362, 1996; H. Morinaga and T. Ohmi, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257 – 268, 1996. With permission.)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
50
agent injected feature these three essential functions. However, their removal efficiency varies, depending on the concentration of the additives.
V. CLEANING MECHANISM OF PARTICULATE CONTAMINATION In Section IV, three essential functions for trace contaminant cleaning are described by taking metallic contamination as an example. This basic concept is applicable to any type of contaminant in gernal. What is different from one contaminant to other is a means to desorb it from substrate and to prevent its redeposition.
A. MECHANISM
OF
PARTICLE DEPOSITION
IN
SOLUTION
For particulate contaminations, it is also important to prevent particles in solution from redepositing on a substrate surface. Herein the mechanism of particle deposition will be described first. There are two major drivers to make a particle in the solution to deposit onto a substrate surface: van der Waals force (intermolecular force) and electrostatic force induced by electrical double layers. Van der Waals force works strongly in near distance, whereas electrostatic force works even in relatively long distance. Also, the electrostatic force acts either as an attractive force or a repulsive force, depending on charge-up status of the particle and the substrate. When a substance comes in contact with polar solvent (such as aqueous solution), its surface is charged up, and electrical double layers are formed around the charged-up interface by ions in the solution. The electrical double layers are defined by a number of potentials. What is measurable among them is the zeta potential, which is an important parameter in surface chemistry and colloidal chemistry, to control dispersion and aggregation of particles in solution. Zeta potential is also useful when adsorption and desorption of particle on substrate are studied. In general, when zeta potential of two substance surfaces is high and of the same in polarity, repulsive force works between the two surfaces. In contrast, when zeta potential of two substance surfaces is different (positive and negative), and of opposite polarity attractive force works. Figure 2.16 shows an example of calculation of potential energy between particle in solution and substrate surface. Total potential energy is expressed as a sum of electrostatic potential energy due to electrical double layers (double-layer potential) and potential energy due to
FIGURE 2.16 Calculation examples of total potential energy curve between a substrate surface and a particle in a solution. (From M. Itano, T. Kezuka, and M. Kubo, in: SPWCC Conference Proceeding, Santa Clara, pp. 257 – 287, 1996. With permission.)
Principles of Semiconductor Device Wet Cleaning
51
van der Waals force (van der Waals potential). Slope of the curves represents the force between the particle and substrate surface at a point. When zeta potential of the particle and substrate surface is the same in polarity, total potential energy curve has a maximum value, which constitutes a barrier to hinder particle deposition. In contrast, when zeta potential of particle and substrate surface is different, only attractive force is applied, as no electrostatic repulsive force due to electrical double layers exists. Under this circumstance, the particle deposits on substrate surface when it moves by means of Brownian movement and approaches to less than 50 nm from substrate [30]. Wettability of the particle and substrate surface is another important parameter. In aqueous solution, a hydrophobic particle cannot exist in a stable manner, and it thereby tends to get gathered around gas-to-liquid interface or solid-to-liquid interface (i.e., substrate surface). In particular, it should be noted that a hydrophobic particle easily gets gathered onto hydrophobic substrate surfaces (e.g., bare Si surface after HF cleaning step and carbon-doped low-k film surface). In general, surface wettability can be characterized by measuring contact angle of a droplet dropped onto the surface.
B. TECHNOLOGY
TO
PREVENT PARTICLE DEPOSITION
To prevent deposition of particle in solution, it is important to control the zeta potential of the substrate surface and the particle to the same polarity. Zeta potential can be controlled by adjusting pH of solution or by adding additives such as surfactant. In alkali solution with pH 10 or more, many substrate surfaces feature negative and high zeta potential due to OH2 ion (Figure 2.17) [31]. Even if Si3N4 particle or SiO2 particle is in the vicinity of an Si substrate surface, the particle hardly deposits on the surface due to strong repulsive force generated in-between. In acid solution with pH 3, Si surface is charged up negatively, whereas zeta potential of SiO2 particle is around 0 and Si3N4 particle is charged up positively, which is highly likely to lead to particle deposition due to nonexistence of repulsive force. This is how particle becomes apt to redeposit during acid cleaning step (Table 2.1). APM, which aims at removing particles, features pH 10 – 11 as NH4OH (alkali species) is injected, and thereby it does not allow the particles to redeposit. Surfactant addition is also effective in controlling the zeta potential. A surfactant is composed of bolt hydrophobic and hydrophilic groups. It is categorized into anion type, cation type, and nonion type, depending on how the hydrophilic group is ionized. Table 2.4 demonstrates that, by
FIGURE 2.17 Zeta potentials of various substrates and particles as a function of solution pH. (From M. Itano, T. Kezuka, M. Ishi, T. Unemoto, M. Kubo, and T. Ohmi, J. Electrochem. Soc., 142, 971 – 978, 1995. With permission)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
52
TABLE 2.4 The Effect of Surfactant Addition on Zeta Potential of Various Material Surfaces in the Acidic Solution (pH 3.3; Dilute HCl; Unit, mV) [31]
Si Si3N4 SiO2 PSL particles
Without Surfactant
Anionic A
Cationic A
223 43 7 39
232 252 27 267
63 45 55 78
injecting a surfactant, zeta potential of substances can be controlled to the same polarity even in acid solution; anion-type surfactant makes everything negatively charged up, whereas cationtype surfactant makes everything positively charged up. This indicates that surfactant injection enables us to hinder particle deposition even in acid solution. It is reported that particle deposition is significantly reduced by means of an anion-type surfactant injection in DHF solution [31]. Improvement of wettability of particle and substrate surface is also important to prevent particle deposition. A surfactant changes a hydrophobic surface into a hydrophilic one. Once the particles become hydrophilic, they get dispersed into solution in a stable manner, and hardly deposit on solid-to-liquid interface (substrate surface).
C. REMOVAL
OF
PARTICULATE CONTAMINANTS
The above-mentioned technique is not enough to eliminate particle contamination on the substrate surface. In addition, the particle needs to be get desorbed from the substrate surface (Function 1). When the particle and the substrate surface form a strong chemical bond, it is also necessary to use etching function (Function 3). For insoluble or refractory particles, mechanical force is applied to get the particle desorbed from substrate surface. Forces to get particles released from substrate in solution include (i) liquid molecule collision to the particle, (ii) dissolved gas bubble generation by means of ultrasonic wave irradiation (so-called cavitation), and (iii) scrubbing with brush. Liquid molecule collision to particles is driven by Brownian movement, which gets more active as temperature is raised. The higher the temperature is, the more easily the particle can be removed. To remove the particle at low temperature, ultrasonic wave irradiation is effective. Ultrasonic wave with higher frequency causes less damage on the device and removes finer particles. Recently megasonic irradiation with frequency of about 1 MHz or higher has been adopted. Figure 2.18 demonstrates that a combination of megasonic irradiation and low-temperature APM cleaning (about 408C) is sufficiently effective in removing particles. Brush scrubbing cleaning is capable of efficiently removing particles which persistently deposits onto the substrate surface as the brush directly contacts with the particles. However, when substrate features three-dimensional morphology such as a hole, brush scrubbing is not able to remove contaminant inside of the vertical structure. Brush scrubbing cleaning, therefore, is often used as a post-CMP cleaning as the substrate surface is planarized but seriously contaminated in CMP process. A particle often forms a strong chemical bond with the substrate surface. This type of particle contamination needs to be removed by etching the substrate surface. For APM cleaning without megasonic irradiation, Figure 2.19 shows particle (TiOx) removal efficiency as a function of etching depth on Si surface [32]. Figure 2.19 indicates that particle removal efficiency does not depend on the etching rate (which is determined by the composition ratio of APM), and that a
Principles of Semiconductor Device Wet Cleaning
53
FIGURE 2.18 The Effectiveness of megasonic irradiation during low temperature APM cleaning on the removal of various particles (particles: Fe2O3, Si3N4, PFA). (From H. Morinaga, M. Aoki, T. Maeda, M. Fujisue, H. Tanaka, and M. Toyoda, Materials Research Society Symposium Proceedings, 477, pp. 35 – 46, 1997. With permission).
certain level of etching depth needs to be achieved to remove the particle. Even without etching, it is possible to remove the particles that form a strong chemical bond with the substrate if strong external forces such as megasonic irradiation and brush scrubbing are used. It is necessary, however, to pay sufficient attention to potential damage any of the device. Alkali solution and HF are capable of etching the surfaces of Si and SiO2, which are dominant as substrate surfaces in ULSI-manufacturing process. Ammonia used in APM cleaning is equipped not only with a function to etch the substrate surface as an alkali but also with a function to keep the zeta potential of the substrate surface negative. The APM cleaning is widely adopted as a means to remove particles in ULSI-manufacturing process. For surface etching by means of alkali, surface microroughness needs to be noted especially on bare Si surface. When bare Si surface is directly etched with alkali solution, surface microroughness will increase (because
FIGURE 2.19 Particle removal efficiency as a function of etch amount of Si substrate. (From H. Kawahara, K. Yoneda, I. Murozono, and Y. Todokoro, IEICE Trans. Electron. E77-C, Tokyo, No. 3, 492, 1994. With permission.)
54
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
etching rate is varied depending on crystal orientation). To address this problem, H2O2 needs to be spiked to APM to oxidize the Si surface to be covered with thin SiO2 passivation film. HF is capable of etching SiO2, but it hardly removes the particles by itself because of the above-mentioned action of zeta potential. When DHF with H2O2 and the surfactant (injected) is combined with megasonic irradiation, all functions which are theoretically considered essential for particle removal become available. This combination is experimentally proven to remove particles [36]. Particle removal from substrate surface can be achieved by combining the three functions that is: particle desorption by applying mechanical driving force (Function 1), prevention of particle redeposition by controlling zeta potential and wettability of solid-to-liquid interface (Function 2), and slight etching of underlying film (Function 3). However, with the ever-increasing sizereduction of ULSI devices, it is becoming more and more difficult to apply powerful megasonic irradiation or to etch underlying film by as much as several nanometers. For ULSI devices, beyond the 100 nm node, patterns collapse because of megasonic irradiation and dimensional fluctuation because of etching will become critical. In order to maintain an ultraclean surface, with reduced physical and chemical force, it is important to improve the technology to capture a particle released slightly from substrate surface to prevent it from redepositing (Function 2). For this, new alkali cleaning technologies using surfactants are proposed [26].
VI. CLEANING MECHANISM OF ORGANIC CONTAMINATION Although there are various types of organic contaminants, it is possible to remove most of them by dissolving or decomposing them in liquid. The chemicals used for organic contaminant removal include (i) an oxidizing agent to decompose organic contaminant by taking electrons from the organic molecule, (ii) an organic solvent to dissolve organic contaminant, and (iii) a surfactant to emulsify the oil contaminant. Wet-cleaning process of ULSI/FPD adopts such a technique as cleaning with oxidizing agents including SPM and ozonated ultrapure water, cleaning with alkali solutions combined with oxidizing agent such as APM, and cleaning with organic solvent (for photoresist dissolution). H2O2 in SPM and APM decomposes (oxidizes) organic contaminants by taking electrons from its molecule, and turns it to a soluble organic acid with low molecular weight (e.g., formic acid and acetic acid) or to CO2 to be removed. In particular, SPM is extremely effective in decomposing the organic contaminants as it features very high redox potential (Figure 2.3). SPM cleaning, therefore, efficiently removes photoresist as well as other organic molecules adsorbed on the substrate surface. O3 gas is dissolved in ozonated UPW, and thereby ozone concentration is kept low (around 10 ppm at room temperature). However, ozonated UPW is highly effective in removing adsorbed organic molecules as it features high redox potential (Figure 2.3) and high reaction rate [35,36]. In general, an organic contaminant is easily dissolved into alkali solution as well. Ammonia injected to APM, TMAH used as resist developer, and amines used for resist removal are capable of dissolving organic contaminants. DHF is also capable of removing organic molecules adsorbed on oxide. It is considered suitable because organic contaminant is lifted off as DHF etches underlying oxide. Through oxidation and decomposition, an organic contaminant finally turns into CO2 and H2O. As these two feature low boiling point, gaseous-phase dry cleaning, which is not effective for other contaminants, is capable of removing the organic contaminants. Oxygen excited with plasma and ozone dry cleaning are used to remove the photoresist and adsorbed organic contaminants. Particulate contamination derived from post-dry-ashing photoresist residue contains polymerized or graphitized contaminants, which are difficult to be dissolved or oxidized. This type of contaminant is to be removed through the particle contaminant removal mechanism described in the previous section.
Principles of Semiconductor Device Wet Cleaning
55
VII. IMPROVEMENT OF CLEANING EFFICIENCY A contaminant can be removed when the three functions (in Figure 2.1) are made available, provided that each reaction reaches the chemical equilibrium. In order to efficiently perform the cleaning within a short period of time, it is necessary to study reaction rates. Cleaning efficiency can be improved by activating a reaction and by increasing the probability for the chemical species and contaminants to come in contact with each other. Temperature rise (heating) is an effective way to activate reactions. In general, rate of a chemical reaction is doubled as temperature is raised by 108C. (More strictly speaking, this relationship is applicable when the activation energy for the reaction is around 50 kJ/mol.) To increase the probability for chemical species and contaminants to meet each other, it is effective to raise concentration of chemical species. Figure 2.20 shows Cu removal efficiency as a function of concentration of the oxidizing agent. Both HF/O3 and HF/H2O2 solutions feature all the three essential functions (dissolution, prevention of redeposition, and slight etching). However, as the concentration of dissolved ozone in HF/O3 is about 10 ppm at the highest, HF/H2O2 solution with 1000 ppm H2O2 shows better Cu removal efficiency per unit time. Even if the concentration of the chemical species is limited, probability for their reaction with contaminants can be raised by fluidizing or by rotating the substrate at a high speed. A single-wafer spinning cleaning system into which a substrate is loaded one by one and rotated at a high speed with chemical solution being applied is capable of constantly feeding fresh chemical solution in the vicinity of substrate surface and maintaining highly efficient reaction. Compared with cleaning
FIGURE 2.20 The amount of remaining Cu as a function of concentration of the oxidizing agent when the contaminated Si surface is cleaned with DHF solution with various oxidizing agents added. (From H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343– 362, 1996.; H. Morinaga and T. Ohmi, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257– 268, 1996. With permission.)
56
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
system where substrate is dipped into cleaning bath (so-called wet station), the single-wafer spinning cleaning system can reduce cleaning duration and chemical concentration.
VIII. OPTIMIZATION OF CLEANING SEQUENCE So far the author discussed the cleaning mechanism of each contaminant. What is essential in the actual cleaning process, however, is to efficiently remove all kinds of contaminants with the minimum cleaning steps. It is critical to understand advantages and disadvantages of each cleaning solution, to combine different cleaning techniques in a way to compensate for each other’s, merits and demerits and to select appropriate sequence of the techniques. For example, DHF features high etching capability and effectively removes Al and many other metals adhering to the substrate surface and into film in large amount, but it can not remove Cu or particles. APM with a chelating agent spiked is highly effective in removing organic contaminants, particles, and Cu and in preventing metallic contaminants from redepositing although it is not effective in removing Al that adheres in large amount [10]. DHF cleaning and APM cleaning, when combined each other, capitalize their advantages and make up for their shortcomings. This two-step cleaning process, therefore, is capable of efficiently removing various contaminants [10]. Sequence of cleaning steps is also important. When an organic contaminant is extensively adsorbed on a surface, the organic contaminant must be removed first of all. If a cleaning agent incapable of removing and organic contaminant is used first, contaminants hidden below organic contamination cannot be removed. This leads to uneven performance of cleaning and etching. When no native oxide is allowed on Si surface (e.g., contact hole cleaning), DHF cleaning must be performed as a final cleaning step to completely remove native oxide and the cleaned wafers must be transferred immediately to subsequent process steps.
IX. CLEANING ISSUES TO NOTE IN EACH STAGE OF ULSI MANUFACTURING PROCESS ULSI-manufacturing process is divided into (i) front end of the line (FEOL) in which transistors are built on wafer surface and (ii) back end of the line (BEOL) where metal interconnects are fabricated.
A. CLEANING STEPS IN FEOL Cleaning steps in FEOL include Si substrate cleaning, pregate cleaning, postgate etch cleaning, and cleaning inside of contact hole. In particular, cleaning prior to gate dielectric formation (pregate cleaning) and Si substrate cleaning require the highest cleanliness. In these cleaning steps, it is necessary to minimize not only metallic contaminant, particle and organic contaminants but also surface microroughness. Various cleaning steps after gate dielectric formation, such as postgate etch cleaning, need to give considerations to minimize Si and oxide film loss. Along with shrink of ULSI device, it is increasingly required to reduce film loss. Cleaning steps featuring etching function, such as APM cleaning and DHF cleaning, need to be precisely controlled to reduce the extent of etching by taking such a measure as diluting cleaning solution, reducing cleaning time, and lowering process temperature. Collapse of fine pattern (pattern damage) must be prevented as well. Traditionally, cleaning step combined with megasonic irradiation has been adopted to remove particle. However, highpower megasonic irradiation causes damage to the sub-100-nm device pattern. Other cleaning methods that are combined with strong mechanical driving force also have the same problem. It is critical to find a way to reduce mechanical force in these cleaning steps [26]. To clean the inside of a contact hole, it is important to completely remove the native oxide at the bottom of hole and to prevent excessive etching of dielectric film on the sidewall of hole. In the case of multilevel dielectric films, it is also critical to maintain uniform etching at each film.
Principles of Semiconductor Device Wet Cleaning
57
When cleaning step is completed with bare Si surface exposed, watermark generation needs to be checked out because the bare Si surface is hydrophobic. Watermark is generated when water droplet partially remains on a hydrophobic surface. More precisely watermark is generated as HSiO2 3 dissolved in UPW gets precipitated during drying process. There are several ways to hinder watermark generation. To dry the wafer surface, for example, IPA is used to replace ultrapure water on wafer surface. Or the drying process is performed in N2 ambience to prevent Si from eluting into UPW. Conventional substrate surfaces comprise Si or Si compounds such as p-Si, SiO2, and silicide in FEOL. As they are chemically stable, aggressive cleaning methods to dissolve all materials other than Si and oxides, such as RCA cleaning, can be applied. Recently various metallic materials have been introduced as gate materials to raise the density and speed of ULSI device. Metals such as tungsten are adopted for the gate electrode. For gate dielectric and capacitor dielectric, high-k materials such as HfO2, Al2O3, and BST are introduced. As APM cleaning dissolves tungsten and other metals, APM cleaning cannot be used for particle removal. Hf and Al redeposit on substrate once they get dissolved into solution. To remove particles from metal surface, surfactant technology should be applied [26]. A chelating agent can be adopted to prevent dissolved metals from redepositing on substrate surface [26].
B. CLEANING STEPS
IN
BEOL
Cleaning steps in BEOL include post-dry-etch cleaning of Al interconnect, post-dry-etch cleaning of low-k film, and post-CMP cleaning of Cu interconnect fabrication. RCA cleaning cannot be used in BEOL as it dissolves the metal interconnect exposed on surface. Cleaning steps in BEOL, therefore, use an organic solvent, UPW, an organic alkali solution, an organic acid, and low-concentration an inorganic acid. A number of films are being proposed as low-k films. If carbon-doped SiO2 (referred to as SiOC or CDO), fluorocarbon, or organic dielectric film is adopted as the low-k film, cleaning with aqueous solution is extremely ineffective as the surface is hydrophobic. Surfactant addition is effective in changing hydrophobic surface to hydrophilic [26]. Prior to post-CuCMP cleaning, the substrate surface is contaminated with large amount of CMP slurry and Cu fragment. To selectively remove the slurry and Cu fragments without dissolving the partially exposed Cu interconnect, it is effective to use surfactant technology and chelating agent technology [26].
X. SUMMARY This chapter discussed the adsorption and desorption mechanism of trace contaminants, principles of ULSI wet cleaning, and cleaning issues of importance. As ULSI device continuously gets more integrated and less expensive, the industry will keep introducing new materials and new processes. As described in the beginning of this chapter, wet-cleaning is expected to evolve furthermore in the future. What is important is to precisely understand underlying process science and to develop efficient and fluctuation-free technologies based on the science. It will be a great pleasure if the cleaning principles presented in this chapter are found useful among engineers working on improvement of cleaning technology.
REFERENCES 1. W. Kern and D. A. Puotinen, Cleaning solution based on hydrogen peroxide for use in silicon semiconductor technology, RCA Rev., 31, 187 – 205, 1970. 2. J. Ryuta, T. Yoshimi, H. Kondo, H. Okuda, and Y.Shimanuki, Adsorption and desorption of metallic impurities on Si wafer surface in SC1 solution, Jpn. J. Appl. Phys., 31, 2338– 2342, 1992.
58
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing 3. T. Ohmi, T. Imaoka, I. Sugiyama, and T. Kezuka, Metallic impurities segregation at the interface between Si wafer and liquid during wet cleaning, J. Electrochem. Soc., 139, 3317– 3335, 1992. 4. T. Imaoka, T. Kezuka, J. Takano, I. Sugiyama, and T. Ohmi, The segregation and removal of metallic impurities at the interface of silicon wafer surface and liquid chemicals, in: IEICE Transactions on Electronics E75-C, Tokyo, No. 7, 816– 828, 1992. 5. F. W. Kern Jr., M. Itano, I. Kawanabe, M. Miyashita, R. W. Rosenberg, and T. Ohmi, in: Proceedings of 11th Workshop on ULSI Ultra Clean Technology, UCS, Tokyo, p. 23, 1991. 6. H. Hiratsuka, M. Tanaka, I. Tada, R. Yoshimura, and Y. Matsushita, Ultra Clean Technol., 3-3, 258, 1991. 7. Y. Mori, K. Uemura, K. Shimanoe, and T. Sakon, Adsorption species of transition metal ions on silicon wafer in SC-1 solution, J. Electrochem Soc., 142, 3104, 1995. 8. H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, A model for the electrochemical deposition and removal of metallic impurities on Si surfaces, in: IEICE Transactions on Electronics E79-C, Tokyo, No. 3, 343– 362, 1996. 9. H. Morinaga and T. Ohmi, Electrochemical deposition and removal of metallic impurities on si surfaces, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 257 – 268, 1996. 10. H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, Mechanism of metal hydroxide adsorption onto Si surfaces in alkali solutions and its prevention, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 585 – 592, 2000. 11. H. Morinaga, Physical chemistry of semiconductor wet cleaning, Oyobutsuri Jpn. Soc. Appl. Phys., 69, 568– 574, 2000. 12. H. Morinaga, M. Suyama, and T. Ohmi, Mechanism of metallic particle growth and Metal Induced Pitting (MIP) on Si wafer surface in wet chemical processing, J. Electrochem. Soc., 141, 2834– 2841, 1994. 13. L. Mouche, F. Tardif, and J. Derrien, Mechanism of metallic impurity deposition on silicon substrates dipped in cleaning solution, J. Electrochem. Soc., 142, 2395– 2401, 1995. 14. S. De Gendt, D. M. Knotter, K. Kenis, P. W. Mertens, and M. M. Heyns, Impact of iron contamination and roughness generated in ammonia hydrogen peroxide mixtures (SC1) on 5 nm gate oxide, J. Electrochem. Soc., 145, 2589– 2594, 1998. 15. D. M. Knotter, S. De Gendt, P. W. Mertens, and M. M. Heyns, Silicon surface roughning mechanisms in ammonia hydrogen peroxide mixtures. J. Electrochem. Soc., 147 (2), 736 – 740, 2000. 16. I. Teerlinck, H. F. Schmidt, A. L. P. Rotondaro, T. Q. Hurd, L. Mouche, P. W. Mertens, M. Meuris, M. M. Heyns, D. Vanhaeren, and W. Vandervorst, Impact of the electrochemical properties of silicon wafer surfaces on copper outplating from HF solutions, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 284 – 291, 1996. 17. H. Aoki, S. Yamasaki, and N. Aoto, A new technique for Quantitative analysis of metallic contamination inside deep-submicron-diameter holes, in: Extended Abstracts of SSDM’96, Yokohama, pp. 154– 156, 1996. 18. M. Tsuji, Y. Muramatsu, and N. Aoto, Depth profile of metallic contamination deposited on Si and SiO2 surfaces in APM solution, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 316– 322, 1996. 19. G. M. Choi, H. Morita, J. S. Kim, and T. Ohmi, The nature of metallic contamination on various silicon substrates, IEICE Trans. Electron. E82-C, Tokyo, No. 10, 1839–1845, 1994. 20. M. C. Chung, G. A. Marshall, C. W. Pearce, and K. P. Yanders. The prevention of Si pitting in hydrofluoric acid cleaning by additions of hydrochloric acid, J. Electrochem. Soc., 144, 652 – 657, 1997. 21. J. S. Kim, H. Morita, J. D. Joo, and T. Ohmi, The role of metal induced oxidation for copper deposition on silicon surface, J. Electrochem. Soc., 144, 3275– 3283, 1997. 22. J. S. Kim, H. Morita, G. M. Choi, and T. Ohmi, Cleaning efficiency of various chemical solutions for noble metals such as Cu, Ag, and Au on Si wafer surfaces, J. Electrochem. Soc., 146, 4281–4289, 1999. 23. G. M. Choi, H. Morita, H. Morinaga, J. S. Kim, and T. Ohmi, Dependence of Cu impurity removal efficiency on substrates etching rate for various substrates in hydrofluoric solutions, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 272 – 279, 2000. 24. L. M. Loewenstein and P. W. Mertens, Competitive adsorption of cations onto the silicon surface: the role of the ammonium ion in ammonia-peroxide solution, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 512 – 519, 2000.
Principles of Semiconductor Device Wet Cleaning
59
25. M. Funabashi and C. W. Frank, Etching mechanism of Si and SiO2 in the SC1 solution, in: Electrochemical Society Proceedings Series PV.99-36, Pennington, NJ, pp. 264 –271, 2000. 26. H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, Additive technologies for sub 100 nm device cleaning, in: Electrochemical Society Proceedings Series PV.2003-26, Pennington, NJ, pp. 370 – 377, 2004. 27. K. Uemura, Oyobutsuri Jpn. Soc. Appl. Phys., 66, 1304, 1997. 28. M. Itano, F. W. Kern Jr., M. Miyashita, and T. Ohmi, Particle removal from silicon wafer surface in wet cleaning process, IEEE Trans. Semicond. Manuf., 6, 258 – 267, 1993. 29. D. J. Riley and R. G. Carbonell, Mechanisms of particle deposition from ultrapure chemicals onto semiconductor wafers: deposition from bulk liquid during wafer submersion, J. Colloid. Interface Sci., 158, 259– 273. 1993. 30. M. Itano, T. Kezuka, and M. Kubo, Particle deposition and removal in wet process for semiconductor manufacturing, in: SPWCC Conference Proceeding, Santa Clara, pp. 257 – 287, 1996. 31. M. Itano, T. Kezuka, M. Ishi, T. Unemoto, M. Kubo, and T. Ohmi, Minimization of particle contamination during wet processing of Si wafers, J. Electrochem. Soc., 142, 971 – 978, 1995. 32. H. Kawahara, K. Yoneda, I. Murozono, and Y. Todokoro, Removal of particles on Si wafers in SC-1 solution, IEICE Trans. Electron. E77-C, Tokyo, No. 3, 492, 1994. 33. A. Saito, K. Ohta, H. Itoh, and H. Oka, in: Third Electrochemical Society Proceedings on Cleaning Technology, Pennington, NJ, pp. 427– 433, 1993. 34. L. Mouche, F. Tardif, and J. Derrien, Particle deposition on silicon wafers during wet cleaning processes, J. Electrochem. Soc., 141, 1684–1691, 1994. 35. N. Yonekawa, S. Yasui, and T. Ohmi, in: Extended Abstract of SSDM, pp. 428 – 430, 1994. 36. T. Ohmi, J. Electrochem. Soc., 143, 2957, 1996. 37. M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, Pergamon Press, London, 1966. 38. S. Trasatti, Pure Appl. Chem., 58, 956, 1986. 39. T. Roche, S. Adler, R. Cosway, S. Schauer, and L. Liu, The effect of metallic contamination and surface roughness on gate oxide strength and product yield, in: Electrochemical Society Proceedings Series PV.95-20, Pennington, NJ, pp. 300 – 307, 1996. 40. J. M. deLarios, D. B. Kao, B. E. Deal, and C. R. Helms, Effect of SiO2 surface chemistry on the oxidation of silicon, J. Electrochem. Soc., 138, 2353– 2361, 1991. 41. S. Verhaverbeke, M. Meuris, P. W. Mertens, and M. M. Heyns, The effect of metallic impurities on the dielectric breakdown on oxides and some new ways of avoiding them, Tech. Dig. IEDM, p. 71, 1991. 42. H. Akiya, S. Kuwano, T. Matumoto, H. Muraoka, H. Itsumi, and N. Yabumoto, J. Electrochem. Soc., 141, L139– L142, 1994. 43. T. Wake, K. Fukui, M. Hamada, K. Inoue, and N. Aoto, Cross-contamination control of new materials with minimum number of cleaning apparatuses, in: Proceedings of ISSM’99, UCS/IEEE/SEMI, p. 153, 1999. 44. H. Morinaga, M. Aoki, T. Maeda, M. Fujisue, H. Tanaka, and M. Toyoda, Advanced alkali cleaning solution for simplification of semiconductor cleaning process, Materials Research Society Symposium Proceedings, 477, pp. 35– 46, 1997. 45. T. Shimono and M. Tsuji, in: Extended Abstracts of Electrochemical Society Meeting, 91 – 1, Washington, DC, p. 278, 1991. 46. I. Oki, H. Shibayama, and A. Kagisawa, Contamination reduction in dilute HF by adding HCl, Electrochemical Society Proceedings Series PV.94-7, Pennington, NJ, pp. 206 – 213, 1994.
3
High-Performance Wet Cleaning Technology Hiroshi Morita Kurita Water Industries Ltd., Tokyo, Japan
Akinobu Teramoto Tohoku University, Sendai, Japan
Hitoshi Morinaga Tohoku University, Sendai, Japan
Senri Ojima Nomura Micro Science Co., Ltd., Kanagawa, Japan
Kenichi Mitsumori Alps Electric Co., Ltd., Sendai, Japan
CONTENTS I. Roles of Wet Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Improvement of RCA Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Current Status of Wet Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Improvement of RCA Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Surface Microroughness Caused by Wet Process . . . . . . . . . . . . . . . . . . . 2. Removal Efficiency of Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Effect of Surface Microroughness on Electrical Characteristics of Thin Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . III. Effect of Megasonic Irradiation in UPW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. MS-Induced Chemical Reactions in UPW . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. MS-Induced Radical Formation in UPW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IV. Specific Gas Dissolved Functional Water and its Production . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Definition of Functional Water for Wet Cleaning Process . . . . . . . . . . . . . . . . B. Idea of H2-UPW Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Effects of Dissolved Gas in MS Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . 2. Particle Removal by Means of Electrolytic Cathode Water . . . . . . . . . . . 3. Idea of Gas-Dissolving-Type H2-UPW . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Adoption of Module with Built-in Gas Permeating Membrane . . . . . . . . . . . . D. Control of Dissolved Gases (Application of Henry’s Law) . . . . . . . . . . . . . . . E. Deaeration Using Water Vapor Sweep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
64 64 64 64 65 65 68 69 71 71 71 71 73 74 74 74 75 75 75 75 76 76 78 78 61
62
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
V. O2-Free Wafer-Cleaning Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Microroughness of Silicon Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Hydrogen Termination of Silicon Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VI. Total Room-Temperature Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Total Room-Temperature 4-Step Cleaning Process . . . . . . . . . . . . . . . . . . . . . 1. O3-UPW for Wet Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Cleaning Efficiency of O3-UPW . . . . . . . . . . . . . . . . . . . . . . . . . . . . i. Removal of Organic Impurities . . . . . . . . . . . . . . . . . . . . . . . . ii. Removal of Metallic Contaminants . . . . . . . . . . . . . . . . . . . . . 2. H2-UPW for Wet Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Relation between Dissolved Hydrogen Concentration and Particle Removal Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . c. Removal of Alumina Particle and Silica Particle . . . . . . . . . . . . . . . d. Comparison between APM Cleaning and H2-UPW Cleaning . . . . . e. Effects of H2-UPW Cleaning on Wafer Surface Microroughness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . f. Cleaning by UPW with Other Gases than Hydrogen Gas Dissolved . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . g. Particle Removal Mechanism of H2-UPW Cleaning . . . . . . . . . . . i. Effects of NH3 Spike . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ii. Effects of MS Irradiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iii. Effects of Dissolved Hydrogen . . . . . . . . . . . . . . . . . . . . . . . . iv. Model of Correlation between Dissolved Hydrogen and MS Irradiation (Surplus Radical Model) . . . . . . . . . . . . . v. Verification of Surplus Radical Model . . . . . . . . . . . . . . . . . . h. Applications of H2-UPW Other than Particle Removal . . . . . . . . . . i. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Total Room-Temperature 4-Step Cleaning Process . . . . . . . . . . . . . . . . . 4. Purity of Gas-Dissolved Functional Water . . . . . . . . . . . . . . . . . . . . . . . B. Total Room-Temperature 5-Step Cleaning Process . . . . . . . . . . . . . . . . . . . . 1. Design of 5-Step Cleaning Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Cleaning by HF þ O3-UPW (FOM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Prevention of Decomposition of Surfactant . . . . . . . . . . . . . . . . . . . . . . . C. Strategy in Cleaning Process in BEOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VII. Surfactant and Chelating Agent Technologies for Innovating Semiconductor Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Challenges in Cleaning Technology to Address Higher-Density ULSI Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Solutions to the Challenges from the Viewpoint of Cleaning Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Preventing Metal Cross Contamination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Accelerating Fine Particle Removal without Device Pattern Damage . . . . . . E. Single-Wafer Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Cleaning of New Material Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Challenges of New Material Surface Cleaning . . . . . . . . . . . . . . . . . . . . . 2. Preventing both Material Corrosion and Contaminant Redeposition . . . .
79 79 79 82 86 86 86 86 86 87 87 88 90 90 90 91 91 92 94 95 95 96 97 97 99 100 100 101 101 102 102 104 106 107 109 110 110 111 112 112 115 115 115 116
High-Performance Wet Cleaning Technology
63
3. Use of Surfactant as Corrosion Inhibiter . . . . . . . . . . . . . . . . . . . . . . . . . . 117 4. Improvement of Wettability of Hydrophobic Surface . . . . . . . . . . . . . . . . 118 G. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 VIII. FTIR-ATR Calibration Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 A. FTIR-ATR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 B. Preparation of Reference Block with Organic Film Adsorbed to Obtain Calibration Curve for Quantification of Organic Compound Adsorption onto Si Surface: Langmuir – Blodgett Technique . . . . . . . . . . . . . . 119 C. Preparation of Calibration Curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 D. Adsorption of Organic Compound to Si Surface in Cleanroom Ambience . . . 123 E. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 IX. Balanced Push Pull Nozzle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 B. Ultrasonic Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 1. Necessity of Ultrasonic Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 2. Fine Particle Elimination Mechanism of Ultrasonic Cleaning . . . . . . . . . 127 a. Elimination Principle of Adhesive Particles from Substrates . . . . . . 127 b. Possibility of Occurrence of Megasonic and Cavitation . . . . . . . . . 127 c. Noise Generation Research at the Time of Megasonic Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 d. Estimation of Elimination Mechanism of Adhesive Particles from Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 C. BPP Nozzle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 1. Concept of BPP Nozzle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 2. Ultrasonic Oscillator Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 a. Oscillator Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 b. Oscillator Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 c. Enclosure Materials and Surface Processing . . . . . . . . . . . . . . . . . . . 135 d. Board Thickness of Enclosures (Ultrasonic Radiant Boards) . . . . . 136 e. Oscillator and Enclosure (Radiant Board) Bonding . . . . . . . . . . . . . 136 f. Enclosure (Radiant Board) Structure . . . . . . . . . . . . . . . . . . . . . . . . . 136 3. Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137 4. Cleaning Fluid Supply/Discharge Section (Rectification Mechanism) . . 137 a. Optimization of the Cleaning Fluid Supply/Discharge Section . . . . 139 b. Fluid Flow Calculation Method for the Current Plate of the Drilling Tubule Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 i. Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 ii. Calculation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 c. Fluid Flow Calculation Method for the Current Plate Made by Porous Ceramics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 i. Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 ii. Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 iii. Calculation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 d. Validation of Calculation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 e. Structure of the Cleaning Fluid Supply/Discharge Section of the BPP Nozzle for Cleaning of 720 mm Substrate . . . . . . . . . . . 142 5. Optimum BPP Nozzles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 D. Cleaning Capacity of BPP Nozzles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
64
1. Cleaning Power Evaluation using 6-in. Glass Substrates and Silicon Wafers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Cleaning Evaluation using the 550 mm 650 mm Glass Substrate . . . . 3. Cause of Performance Differences with Traditional Ultrasonic Equipment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Comparison of Acoustic Pressure . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Comparison of Ultrasonic Applied Areas . . . . . . . . . . . . . . . . . . . . 4. Ideal Situation of Ultrasonic Cleaning Equipment . . . . . . . . . . . . . . . . . . E. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
144 145 146 147 147 148 149 150
I. ROLES OF WET CLEANING PROCESS HIROSHI MORITA
In order to improve the quality and yield in semiconductor manufacturing process, it is essential to realize “ultraclean Si wafer surface” on which ultra-fine patterns are fabricated. The ultraclean Si wafer surface is defined as a surface which satisfies the following eight requirements [1]: 1. 2. 3. 4. 5. 6. 7. 8.
Free from particles Free from metallic impurities Free from organic impurities Free from unintended native oxide Maintain atomic-order “microroughness” With top surface completely terminated with hydrogen Free from moisture adsorption Free from charge-up
Si wafer goes through wet cleaning process before and after each cycle composed of film deposition, photolithography, and etching steps. The number of cleaning steps is about twice as many as the number of masks used in semiconductor manufacturing process. In addition, chemical mechanical polishing (CMP) process which has been rapidly adopted to planarize wafer surface requires cleaning step right after its completion. Needs for cleaning step keep increasing. Needless to say, the main players of semiconductor device production process are process technologies such as film deposition, photolithography, and etching. Without the wet process which supports the three essential steps, semiconductor devices cannot however be manufactured.
REFERENCE 1. T. Ohmi, Proposal of advanced wet cleaning of silicon surface, in: Extended Abstracts of 188th Electrochemical Society Meeting, Chicago, No. 429, pp. 680 –681, 1995.
II. IMPROVEMENT OF RCA CLEANING PROCESS HIROSHI MORITA
A. CURRENT STATUS OF WET CLEANING PROCESS Wet process in mass production factories still uses RCA cleaning which was published in 1970 [1] while modifying it to some extent to meet their specific needs. The RCA cleaning is usually combined with SPM (H2SO4 þ H2O2) and DHF (diluted hydrofluoric acid). For example, the RCA
High-Performance Wet Cleaning Technology
65
cleaning is performed as follows: SPM ! DHF ! APM (NH4 OH þ H2 O2 þ UPW) ! hot UPW ! HPM (HCl þ H2 O2 þ UPW) ! DHF Between cleaning steps and after the entire RCA process, ultra pure water (UPW) rinsing needs to be repeated several times to rinse off high-concentration chemicals from wafer surface. The RCA cleaning consumes chemicals and UPW in large volume, and it requires heat source to heat chemicals and UPW. As a result, the RCA cleaning process discharges chemical vapor as well as chemical wastes in large volume. It also requires huge energy consumption for air-conditioning system which processes the outdoor air into high-purity clean air in order to replace air contaminated with chemical vapor. Because of the active vapor generation, control of chemical concentration is not at all easy in the RCA process. In order to maintain acceptable reproducibility in cleaning performance, special concentration monitors need to be mounted on chemical delivery system, and chemicals need to be replenished regularly to compensate for the reduction due to evaporation and decomposition. The conventional cleaning process which repeatedly treats Si wafer with high-concentration chemicals at high temperature is too aggressive and wasteful as contamination of Si wafer is extremely marginal. As described earlier, the RCA cleaning is found problematic in terms of environmental conservation and manufacturing cost. At present, however, most mass-production facilities of semiconductor devices keep using the RCA cleaning and modified RCA cleaning, although they are aware of its problems, as the RCA cleaning enables them to maintain some device yield.
B. IMPROVEMENT OF RCA CLEANING PROCESS Prof. Ohmi’s Laboratory of Tohoku University made ahead starting to improve the RCA cleaning process to overcome the above-mentioned problems. The laboratory revealed that microroughness as well as impurities on Si wafer surface had a lot to do with electrical characteristics of semiconductor device. To meet the dual objectives, namely to remove impurities and to reduce microroughness on Si wafer, the Laboratory succeeded in reducing chemical concentration of NH4OH in APM solution. 1. Surface Microroughness Caused by Wet Process Such surface contaminants as particles, organic materials, metallic impurities, and native oxide, degrade the device performance and yield. Before moving on to a following process, these impurities have been usually removed in the RCA cleaning in factory. However, the concept of RCA cleaning does not place the emphasis on the surface microroughness. This is because the microroughness did not affect the device characteristics when Kern proposed the RCA cleaning, since the device geometry at that time was at the 10-mm level, and the thickness of gate oxide was several hundred nanometers. First of all in this section, the studies on surface microroughness of the wafer treated with the conventional RCA cleaning evaluated with Scanning Tunneling Microscope (STM) are presented. The RCA cleaning employed in this experiment used the cleaning solutions with the conventional composition as follows: SPM ¼ H2SO4 (98%): H2O2(30%) ¼ 4:1, APM ¼ NH4OH(28%):H2O2(30%):H2O ¼ 1:1:5, HPM ¼ HCl(36%): H2O2(30%):H2O ¼ 1:1:6. There is a clear difference of the surface microroughness between the wafer before cleaning and the wafer after cleaning. This result indicates that the reason for the increase in surface microroughness can be found in the wet process. The next investigation is to identify which process of the RCA cleaning affects the surface microroughness. Figure 3.1 presents the surface microroughness of the n-type Cz wafer when it was treated with the SPM cleaning and the HPM cleaning. Figure 3.2 shows the surface
66
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing 1.0 Cz n type
Ra [nm]
0.8
0.6
0.4
0.2
0.0 BLANK
SPM
HPM
Surface–Microroughness of Various cleaning Methods SPM:H2SO4–H2O2 Cleaning HPM:HCI–H2O2–H2O Cleaning
FIGURE 3.1 Surface microroughness for HPM cleaning and fourth-time cycle SPM cleaning.
microroughness of n-type Cz wafer, p-type Cz wafer, and n-type FZ wafer which were treated with APM cleaning with the mixing ratio varying from 1:1:5 to 0.05:1:5. The temperature during the APM cleaning was kept at 80 –908C. Figure 3.1 indicates that neither the SPM cleaning nor the HPM cleaning increases the surface microroughness. On the other hand, as shown in Figure 3.2, the surface microroughness on the Cz wafer gradually increases as the NH4OH mixing ratio in the APM cleaning gets higher. The increase and the deviation of the surface microroughness on the Cz wafer are found to be suppressed at the initial level when the NH4OH mixing ratio in the APM cleaning is reduced to 0.05. In the case of the FZ wafer, however, the surface microroughness shows little increase.
1.0
Ra [nm]
0.8
Cz n type Cz p type Fz n type
0.6
0.4
0.2
0.0 BLANK 0.05
0.10
0.25
1.0
Mixing Ratio of NH4OH in NH4OH–H2O2–H2O Solution (a:1:5)
FIGURE 3.2 Relationship for surface microroughness of Cz and FZ wafer having various NH4OH mixing ratio in APM solution.
High-Performance Wet Cleaning Technology
67
Besides the dependence on the NH4OH mixing ratio is not so obvious for the FZ wafer as that for the Cz wafer: the surface microroughness slightly increases only when the FZ wafer is treated with the NH4 OH22H2 O222H2 O solution with the mixing ratio of 1:1:5. The difference between the Cz and FZ wafers is considered to be caused by difference of vacancy concentration in the wafer substrate which depends on the time when the temperature is kept close to 14208C (the melting point of silicon), during the crystal growth. It is considered that the surface microroughness is increased on the Cz wafer because the Si vacancy clusters are scattered in a non-uniform manner. Meanwhile, no difference of the surface microroughness level is indicated between the p-type and the n-type wafer in these experimental results. Figure 3.3 shows the relationship between the Si etching rate and NH4OH mixing ratio in APM cleaning at 808C, using Cz and FZ wafers. The Si etching rate of APM solution gets lower as the NH4OH mixing ratio decreases. It is clear that the etching rate completely depends on the NH4OH concentration. There is no difference between the Cz and FZ wafers in terms of the etching rate. These results as shown in Figure 3.2 and Figure 3.3 indicate that the APM solution with the reduced NH4OH mixing ratio of 0.05:1:5 can be used for the Si surface cleaning without degrading the surface microroughness. The entire process of RCA cleaning employing the APM cleaning with lower NH4OH mixing ratio was evaluated. As shown in Figure 3.4, the surface microroughness increases after going through the entire RCA cleaning process even if the level of the mixing ratio was 0.05:1:5 [2,3,5]. In order to further investigate this problem, two different procedures were evaluated for the process following the APM cleaning as shown in Figure 3.5: Process 1 (conventional procedure) and Process 2 (a new procedure). Even when the mixing ratio of APM solution is changed to 0.05:1:5, the surface microroughness increases in the hot UPW rinsing of the conventional RCA cleaning. When the room temperature UPW rinsing is introduced right after the APM cleaning, however, there is no degradation of the surface microroughness. In the conventional RCA process, ammonium ions adhering on the wafers and wafer cassettes are carried over to the hot UPW bath to raise the pH level of the UPW to about 8.0. This is regarded as the main reason for the surface microroughness increase. Therefore, in order to maintain the surface smoothness, the room temperature UPW rinsing is definitely required right after the APM cleaning.
1.0
ETCHING RATE [nm/min]
Cz n (100) 0.8
FZ n (100)
0.6
0.4
0.2 ER = 0.36LogCNH
4OH
0.0 10−3
10−2
10−1
10−0
+ 0.60 101
Mixing Ratio of NH4OH in Mixture Solution (a:1:5)
FIGURE 3.3 Relationship between the Si etching rate and the NH4OH mixing ratio in APM cleaning using Cz and FZ wafers.
68
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing RCA Cleaning 1.0 Cz n type
Ra [nm]
0.8
0.6
0.4
0.2
0.0 BLANK 0.05
0.10
0.25
1.0
Mixing Ratio of NH4OH in NH4OH–H2O2–H2O solution (a:1:5)
FIGURE 3.4 Surface microroughness of wafers treated in an entire RCA cleaning process having four different NH4OH mixing ratios in APM solution.
Further, it has been revealed that this combination of room temperature UPW rinsing and hot UPW rinsing makes it easier to remove organic materials.
2. Removal Efficiency of Particles The previous section described that the surface smoothness can be maintained by reducing the NH4OH mixing ratio and by introducing the room temperature UPW rinsing. 1. NH4OH/H2O2 0.05
HOT DI Water
RT DIW
2. NH4OH/H2O2 0.05
RT DI Water
HOT DIW
1.0 Cz n type
Ra [nm]
0.8
0.6
0.4
0.2
0.0 BLANK
Hot DI RT DI Water Water 1 2 Various Rinsing Methods
After NH4OH–H2O2–H2O Cleaning
FIGURE 3.5 Influence of succeeding step of APM cleaning on surface microroughness.
High-Performance Wet Cleaning Technology
69
FIGURE 3.6 Particle removal efficiency as a function of NH4OH mixing ratio in APM cleaning for four different particles such as PSL, silica, particles from city water, and particles from outside air.
The major purpose of APM cleaning is to remove particles from the wafer surface. The particle removal efficiency of APM cleaning with various NH4OH mixing ratios was evaluated, using polystyrene latex (PSL), silica, particle in city water, and particle in the air. The result is shown in Figure 3.6, which indicates that the highest particle removal efficiency can be obtained when the NH4OH mixing ratio is reduced to 0.05 –0.01 from the conventional mixing ratio, [2,3,5]. In this experiment, the particle number was measured after the room temperature UPW rinsing following the APM cleaning. In Figure 3.6, the efficiency to remove PSL and particles in the air plummets because particles are organic materials. As the NH4OH mixing ratio in the APM cleaning goes up, the viscosity of the organic particle surface gets higher in the solution. As a result, these organic particles adhere more easily onto the surface. The hot UPW rinsing is required after the room temperature UPW rinsing to remove organic materials.
3. Effect of Surface Microroughness on Electrical Characteristics of Thin Oxide As the feature size of the ultra large-scale integration (ULSI) devices get smaller, the gate oxide and oxide used as the storage capacitor are getting thinner and thinner. Therefore, in order to maintain the performance, the reliability, and yield of devices, it is extremely important to improve the film quality of thin oxide. This experiment has confirmed that the electrical characteristics of thin oxide such as the dielectric breakdown field intensity (EBD) have a close relationship with the surface microroughness. Metal oxide semiconductor (MOS) diodes were prepared by depositing 9.5 and 10 nm oxide film on the n- and p-type substrates with various surface microroughness level followed by the aluminum metalization. Figure 3.7 shows the relationship between the surface microroughness and the EBD. In this experiment, EBD means the average value of the electric field intensity of the intrinsic breakdown when the positive voltage is applied to the Al electrode to form the electron accumulation layer on the n-type substrate surface and when the negative voltage is applied to the
70
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
BREAKDOWN FIELD EBD [MV/cm]
17 15
Epi p Cz p Cz n FZ n
13
tox = 10 nm
11 9 0.0
0.2
0.4 0.6 Ra [nm]
0.8
1.0
FIGURE 3.7 Dielectric breakdown field intensity (EBD) as a function of average surface microroughness having oxide thickness of 10 nm formed on n-type Cz and FZ wafers, and having oxide thickness of 9.5 nm formed on p-type Cz and EPI wafer.
Al electrode to form the electron accumulation layer on the p-type substrate surface. The measure˚. ment area was 1.6 1024 cm2 and the judgment electric current was 1 1024 A It is shown that EBD gets higher as the surface microroughness decreases. Moreover, no difference is observed between the n-type Cz wafer and n-type FZ wafer provided that the surface microroughness is at the same level. And, furthermore, no difference is observed between the p-type Cz wafer and the p-type EPI wafer provided the surface microroughness level is the same for both the wafers. Succeedingly, the charge to breakdown QBD has been evaluated at a field intensity of 9.5 MV/cm for these MOS diodes having an area of 1.6 1024 cm2 as shown in Figure 3.8, where the breakdown is defined by the diode current increasing up to 1 1025 A. It is clearly seen from Figure 3.8 that the value of QBD rapidly increases with a decrease of surface microroughness. The QBD characteristics strictly relate to the reliability of the ULSI device having very thin oxide films. Results obtained in Figure 3.7 and Figure 3.8 clearly indicate the importance of substrate surface smoothness in future advanced ULSI devices particularly for the reliability. Besides it has been found the surface microroughness on Si – SiO2 interface affects not only the breakdown voltage of oxide and the QBD but also the channel mobility of MOSFET: the channel mobility gets bigger as the surface microroughness decreases [4,5].
103
QBD [C/cm2]
tox = 9.8 nm E = 9.5 MV/cm
102
101 0.0
0.2
0.4
0.6
0.8
1.0
Ra [nm]
FIGURE 3.8 Surface microroughness dependence of QBD (C/cm2) under a constant field of 9.5 MV/cm.
High-Performance Wet Cleaning Technology
71
REFERENCES 1. W. Kern and D. A. Puotinen, Cleaning solution based on hydrogen peroxide for use in silicon semiconductor technology, RCA Rev., 31, 187 – 205, 1970. 2. M. Miyashita, M. Itano, T. Imaoka, I. Kawanabe, and T. Ohmi, Optimized NH4OH/H2O2 cleaning process for ultraclean wafer surface preparation, in: Extended Abstracts of the 179th Electrochemical Society Meeting, Washington, DC, No. 463, pp. 709 – 710, 1991. 3. M. Miyashita, M. Itano, T. Imaoka, I. Kawanabe, and T. Ohmi, Dependence of thin oxide films quality on surface microroughness. in: 1991 Symposium on VLSI Technology, Oiso, pp. 45–46, 1991. 4. T. Ohmi, M. Miyashita. M. Itano, T. Imaoka, and I. Kawanabe, Dependence of thin oxide films quality on surface microroughness, IEEE Trans. Electron Devices, 39, 537 – 545, 1992. 5. M. Miyashita, T. Tsuga, K. Makihara, and T. Ohmi, Dependence of surface microroughness of CZ, FZ and EPI wafers on wet chemical process, J. Electrochem. Soc., 139, 2137– 2146, 1992.
III. EFFECT OF MEGASONIC IRRADIATION IN UPW [1] HIROSHI MORITA
A. INTRODUCTION Recently, megasonic (MS, ultrasonic featuring frequency of about 1 MHz) irradiation becomes to be often adopted in wet cleaning process. In this section, the effect of MS in cleaning solution is discussed.
B. MS-INDUCED CHEMICAL REACTIONS
IN
UPW
When UPW is irradiated by MS, the properties of the water change. Figure 3.9 shows the change in resistivity of UPW [2 – 4]. It was reduced drastically by MS irradiation. However, when thoroughly “deaerated UPW” was suffered by MS irradiation, the resistivity of the water did not change. In this figure, a lag time from beginning of MS irradiation to resistivity reduction was found. It corresponds to the holding time taken for the MS-irradiated water to cover the distance to the resistivity sensor.
FIGURE 3.9 Variation of resistivity of UPW with MS irradiation time.
72
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
2 FIGURE 3.10 Effect of dissolved air on formation of NO2 2 and NO3 in MS-irradiated UPW.
2 2 Figure 3.10 shows NO2 x (NO2 and NO3 ) generation in UPW irradiated by MS. When the dissolved air concentration in UPW was the saturated level (around 24 ppm), NO2 x concentration was increased with MS irradiation time. On the other hand, when the dissolved air concentration was at a low level (0.14 ppm), NO2 x generation could not be observed. This suggests that a critical concentration of “dissolved gas” exists for NO2 x generation by MS irradiation. From Figure 3.9 and Figure 3.10, it can be understood that resistivity reduction in UPW is due to NO2 x and other ionic substances generated by MS irradiation. Figure 3.11 shows reaction paths which will occur during MS irradiation in UPW containing nitrogen gas. In the case of NHþ 4 formation, H radical formed from UPW by MS irradiation reacts with N2 to produce NH3. In the case of NO2 2 formation, two reaction courses can be assumed. In the first reaction course, H2O2 is generated by recombination of †OH radicals formed from H2O. H2O2 decomposes to give þ oxygen atom O and H2O. The oxygen atom O and H† radical react with N2 to produce NO2 2 and H . † † In the second reaction course, OH radical and H radical which were formed from H2O and þ oxygen atom (formed from H2O2) react with NH3 to produce NO2 2 , H , and H2O.
FIGURE 3.11 Ionic substance generation process in UPW with MS irradiation.
High-Performance Wet Cleaning Technology
73
20
Concentration of H2O2 [ppm]
Dissolved air : 0.14 [ppm] Dissolved air : 24.0 [ppm] 15 Megasonic 0.95 MHz Supplied power 270 W 10
5
0 3
10 20 Megasonic irradiation time [min]
40
FIGURE 3.12 Effect of dissolved air on H2O2 formation in MS-irradiated UPW.
In the case of NO2 3 formation, three reaction courses can be assumed. In the first reaction course, H2O2 decomposes to give oxygen atom O and H2O. The oxygen atom formed from þ H2O2 reacts with H† radical and N2 to produce NO2 3 and H . In the second reaction course, OH þ radical, H radical and oxygen atom O react with NH3 to produce NO2 3 , H , and H2O. In the 2 2 third reaction course, oxygen atom O reacts on NO2 to produce NO3 [5]. When dissolved gas was removed sufficiently, H2O2 could not be found in the solution irradiated by MS. Figure 3.12 shows the test result. It can be understood that OH radical recombination cannot happen in the thoroughly deaerated water because no OH radicals are generated in this water [6].
C. MS-INDUCED RADICAL FORMATION IN UPW From the previous section, it is confirmed that some chemical species are generated in UPW by MS irradiation. Furthermore, it was suggested that the chemical species generation is because of radical formation by MS irradiation. Some factors which influence radicals formation were investigated. It is essential to control dissolved gas concentration in order to achieve high radical generation efficiency. It is necessary, therefore, to clarify the reaction between dissolved gas concentration and radical formation. Generated radicals in sample solutions were measured by electron spin resonance (ESR) analysis.
FIGURE 3.13 Effect of dissolved gas concentration on OH radical formation in MS-irradiated UPW.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
74
Before MS irradiation
After MS irradiation Deaerated UPW
After MS irradiation O2 bubbling: 3 min
FIGURE 3.14 Radical formation in MS-irradiated UPW: deaerated water versus O2 dissolved water.
Figure 3.13 shows the effect of dissolved gas concentration and its species in MS-irradiated water. Concerning the enhancement of †OH radical formation, Figure 3.14 shows the ESR spectrum of UPW in various conditions. Signal of †OH radical did not occur to increase in thoroughly deaerated UPW both with and without MS irradiation. It seems that it was difficult to generate OH radical in deaerated UPW, even when MS was irradiated. On the other hand, the signal of OH radical was detected therefore, when O2 gas was dissolved into UPW.
REFERENCES 1. T. Ohmi, M. Toda, M. Kato, K. Kawada, and H. Morita, Megasonic irradiation induced chemical reaction in the solution for silicon wafer cleaning, in: Proceedings of Material Research Society Symposium, Vol. 477, pp. 3 – 14, 1997. 2. M. Toda, M. Kato, K. Kubo, S. Ojima, and T. Ohmi, Radical activation of DI water and cleaning solution by megasonic. in: Extended Abstracts of the 188th Electrochemical Society Meeting, Chicago, No. 444, pp. 708– 709, 1995. 3. K. Kubo, S. Ojima, M. Toda, and T. Ohmi, Study on megasonic in advanced wet cleaning process, in: Extended Abstracts of the 188th Electrochemical Society Meeting, Chicago, No. 445, pp. 710 – 711, 1995. 4. M. Kato, M. Toda, K. Kubo, S. Ojima, Y. Sakata, and T. Ohmi, The effect of sonication on ultra pure water, in: Proceedings of 1996 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 179– 195, 1996. 5. M. Toda, M. Kato, and T. Ohmi, The effect of megasonic irradiation on ultra pure water, in: Abstract of Third International Symposium on Ultra Clean Processing of Silicon Surfaces (UCPSS’96), Antwerp, p. 2, 1996. 6. K. Kubo, S. Ojima, Y. Sakata, M. Kato, M. Toda, and T. Ohmi, The impact of radical activated ultra pure water, in: Proceedings of 1996 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 196– 214, 1996.
IV. SPECIFIC GAS DISSOLVED FUNCTIONAL WATER AND ITS PRODUCTION HIROSHI MORITA
A. DEFINITION
OF
FUNCTIONAL WATER FOR WET CLEANING PROCESS
Recently, the word “functional water” is used often. The definition, however, is uncertain. Ohmi of Tohoku University defined the functional water for wet process as follows: . . . .
Available solution having high efficiency in wet cleaning process Based on UPW Added very small amount of specific gas and chemical (ppm order) Sometime, combined with some physical energy (e.g., ultrasonic)
High-Performance Wet Cleaning Technology
75
Ozonated ultrapure water (O3-UPW), hydrogenated ultrapure water (H2-UPW), and electrolytic ionized water are given examples.
B. IDEA OF H2-UPW CLEANING [1] 1. Effects of Dissolved Gas in MS Cleaning A simple cleaning test using MS irradiation combined with “surfactant” added UPW was performed, and two cases were compared with each other. In the first case, surfactant-added UPW was deaerated, and in the second case, it was not. Sound pressure measurement of cleaning solution revealed that MS propagation was improved as concentration of dissolved gases was decreased by enhancing “deaeration” process [2]. Figure 3.15 shows the concentration of dissolved gas as a function of sound pressure. It was expected that cleaning efficiency improved as sound pressure was raised, however, particle removal efficiency was found to be lowered in highly deaerated solution. It was recognized that gases should be fully dissolved when UPW is used as the primary component in cleaning solution with MS irradiation. 2. Particle Removal by Means of Electrolytic Cathode Water Around the same time, a new wet cleaning technology was established which was based on electrolytic ionized water prepared by a special electrolysis system [3 – 5]. In general, electrolytic ionized water includes acidic ionized water (anode water) and alkaline ionized water (cathode water) both of which are generated by electrolyzing city water with much salt dissolved. For wet process, however, electrolytic ionized water was often produced by using salt-free UPW as a source water. Reducing cathode water was reported to feature high particle removal efficiency. 3. Idea of Gas-Dissolving-Type H2-UPW Electrolytic cathode water is defined, in a simplified manner, as hydrogen-dissolved water: hydrogen gas generated by electrolyzing water is dissolved in UPW. Meanwhile, as discussed earlier, particle removal efficiency is improved as the gas is fully dissolved in cleaning solution by MS irradiation combined with a cleaning solution having UPW as the primary component. On the basis of these two facts, a hypothesis can be formulated that water with high-concentration hydrogen gas dissolved in it should feature excellent particle removal efficiency when combined with MS irradiation.
FIGURE 3.15 Variation of sound pressure of UPW with MS irradiation: effect of deaeration.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
76
C. ADOPTION
OF
MODULE
WITH
BUILT-IN GAS PERMEATING MEMBRANE
It was explored that a simple method to generate H2-UPW which was expected to feature high cleaning efficiency based on the idea and the assumption described earlier. Four requirements were identified: 1. 2. 3. 4.
To dissolve hydrogen at high concentration Not to sacrifice purity of UPW To minimize hydrogen gas consumption To keep water free from bubbles
For requirement 1 (hydrogen concentration), a specific target was set to increase hydrogen concentration to 1.6 ppm which is the saturation concentration of hydrogen in UPW at room temperature under atmospheric pressure. For requirement 2 (purity), maintaining purity of H2-UPW at the same level as source water (UPW) was aimed. For requirement 3, a specific target was to have the whole delivered hydrogen gas dissolved or not to allow any hydrogen gas to be excessive. For requirement 4, it was noted not to allow any bubbles to generate in H2-UPW delivery piping system and at the point of use (POU). It is because bubbles if any are present in the cleaning solution, would deteriorate the cleaning uniformity as they adhere to substrate surface during cleaning step. In order to make an efficient and safe use of hydrogen gas without allowing it to evaporate into the cleanroom, a module with a built-in gas-permeating membrane which would selectively allow gas to permeate but not allow liquid to permeate through was tried to apply.
D. CONTROL
OF
DISSOLVED GASES (APPLICATION OF HENRY’S LAW)
Initially it was tried to dissolve hydrogen gas into UPW merely by feeding UPW into the module and introducing hydrogen gas to gaseous phase in the module, UPW used as source water was not treated with the preliminary deaeration step. By measurement with a polarograph-type dissolved hydrogen concentration meter, hydrogen concentration in the treated water prepared with this method was found as low as 0.6 ppm. When more hydrogen gas was introduced in a bid to raise hydrogen concentration, excessive hydrogen gas which did not dissolve appeared as bubbles in treated water. This method was found not effective in increasing dissolved hydrogen concentration to a level of saturation concentration. It was speculated because partial pressure of hydrogen was not sufficiently raised due to much amount of nitrogen which was originally dissolved in UPW. Concentration of dissolved gas is determined by partial pressure of the gas and its specific saturation concentration (Henry’s law). For air-saturated water, for instance, it is reported that concentration of dissolved oxygen and nitrogen is about 9 ppm and about 16 ppm, respectively, at room temperature and under atmospheric pressure. These figures are derived from the following equations: Saturation concentration of oxygen (44 ppm) partial pressure ð0:2 atmÞ ¼ 9 Saturation concentration of nitrogen ð20 ppmÞ partial pressure ð0:8 atmÞ ¼ 16 In order to produce high-concentration H2-UPW, partial pressure of hydrogen should be raised. There are two methods to raise hydrogen partial pressure: . .
To feed large amount of hydrogen into UPW to force hydrogen proportion to rise To remove dissolved nitrogen and other gases from UPW to raise hydrogen proportion
It was decided to adopt the latter method and try a series treatment. Two gas-permeating membrane modules were connected in series. At the first module, the gaseous phase was connected to
High-Performance Wet Cleaning Technology
H2 Gas UPW
77
Degas
H2 Gas
UPW
Saturated Conc. 1.6 Dissolved H2 (ppm)
1.4 1.2
Dissolved H2 Increase by pre-Degassing
1.0 0.8 0.6 0.4 0.2 0 Without Deaeration
After Deaeration
FIGURE 3.16 Dissolved hydrogen concentration of two kinds of treated water: only dissolving versus predeaeration and dissolving.
vacuum pump to treat the fed UPW with reduced-pressure membrane deaeration. At the second module, hydrogen gas is introduced to the UPW. Figure 3.16 shows concentration of dissolved hydrogen. This method was proven to be effective in easily raising concentration of dissolved hydrogen to a level of saturation concentration. It was also revealed that injected hydrogen gas was completely dissolved in a short time unless the amount of injected hydrogen gas was more than that of dissolved gases which were removed with deaeration treatment. Figure 3.17 shows concentration of dissolved hydrogen in treated UPW at various flow rates of hydrogen gas introduced. In this test, UPW which was used as source water went through membrane module first for preliminary deaeration. Then, the test was performed by changing flow rate of deaerated UPW to three different levels. At any flow rate, measured concentration of hydrogen gas shows
FIGURE 3.17 Relationship between supplied hydrogen gas and dissolved hydrogen concentration.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
78
FIGURE 3.18 Reduction of dissolved oxygen using water vapor sweeping in deaeration membrane module.
a good agreement with theoretical concentration of when the entire hydrogen gas fed to the module is completely dissolved. This test result demonstrates that the above-described series treatment of “deaeration ! gas injection” is effective in dissolving the whole hydrogen gas introduced, which makes it possible to minimize hydrogen gas consumption and prevent excessive hydrogen gas from remaining not dissolved. Thus, a simple production system of high-concentration H2-UPW to satisfy the four requirements was established [6 –9]. The cleaning efficiency of H2-UPW is described later.
E. DEAERATION USING WATER VAPOR SWEEP [10] Concerning deaeration with membrane module, an effective method was developed. Figure 3.18 shows the relation dissolved oxygen to vacuum level when cleaning solution deaerated by evacuating and introducing sweep gas of 100% water vapor in evacuation area. As to dissolved oxygen (DO2) and nitrogen (DN2) at 7 Torr, although it indicated 3– 5 ppb of DO2 and 5– 6 ppm of DN2 when solution was deaerated by normal evacuating, it indicated 0.5– 0.7 ppb of DO2 and under detection limit of DN2 (1 ppm) by using water vapor sweeping. Although DO2 and DN2 increased clearly at 7 torr under deaeration by normal evacuation, under deaeration using water vapor, DO2 and DN2 did not increase even upto a vacuum level of 30 torr. It is believed that the conventional method could not deaerate efficiently whereas the new method which employs a sweep gas of 100% water vapor in evacuation area decreases the dissolved gases efficiently and hence effective deaeration.
REFERENCES 1. H. Morita, New Si Substrate Cleaning Technology Using Functional Water, Ph.D. Dissertation, Tohoku University, Sendai, Japan, 2000. 2. H. Morita, K. Kawada, S. Okano, T. Nitta, and T. Ohmi, Development of an advanced wet cleaning equipment with megasonic enhancing system, in: Proceedings of the Fifth International Symposium on Semiconductor Manufacturing (ISSM’96), Tokyo, pp. 337 – 340, 1996. 3. H. Aoki, M. Nakamori, N. Aoto, and E. Ikawa, Ecologically-safe ionized water treatment for wafer processing, in: Symposium of the VLSI Technical, Digest, Kyoto, pp. 107 – 108, 1993. 4. H. Aoki, T. Nakajima, K. Kikuta, and Y. Hayashi. Novel electrolysis-ionized-water cleaning technique for the chemical-mechanical polishing (CMP) process, in: Symposium of the VLSI Technical, Digest, Kyoto, pp. 79– 80, 1994.
High-Performance Wet Cleaning Technology
79
5. K. Yamanaka, T. Imaoka, T. Futatsuki, T. Iwamori, Y. Yamashita, H. Aoki, and A. Yamazaki, Improved electrolytic ionized water produced by a novel electrolytic cell for wet cleaning process, in: Proceedings of 1995 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 1 – 22, 1995. 6. H. Morita, J. Ida, T. Mizuniwa, and T. Ohmi, Hydrogenated ultrapure water production system for future wet cleaning process, in: Abstract of Fourth International Symposium on Ultra Clean Processing of Silicon Surfaces (UCPSS’98), Ostende, pp. 3 – 4, 1998. 7. H. Morita, J. Ida, T. Mizuniwa, and T. Ohmi, Hydrogenated ultrapure water production system for future wet cleaning process, in: Proceedings of UCPSS’98, pp. 7 – 10, 1998. 8. H. Morita, J. Ida, T. Mizuniwa, N. Yabumoto, S. Kushibe, and T. Ohmi, Dissolved gas controlled ultrapure water production system for wet cleaning processes, in: Proceedings of the Seventh International Symposium on Semiconductor Manufacturing (ISSM’98), Tokyo, pp. 428 – 431, 1998. 9. J. Ida, H. Morita, and T. Ohmi, Particle removal effect of hydrogenated ultrapure water with megasonic irradiation, in: Proceedings of Precision Science and Technology for Perfect Surfaces (ICPE’99), Osaka, pp. 428– 431, 1999. 10. H. Kanetaka, T. Kujime, H. Yazaki, T. Kezuka, and T. Ohmi, Influence of the dissolved gas in cleaning solution on Si wafer cleaning efficiency, in: Proceedings of UCPSS’98, pp. 43 – 48, 1998.
V. O2-FREE WAFER-CLEANING TECHNOLOGY AKINOBU TERAMOTO
A. INTRODUCTION In Section IV, the room temperature 4-step cleaning technology and the room temperature 5-step cleaning technology which does not use alkali solutions are described. The room temperature 4-step cleaning technology and the room temperature 5-step cleaning are sufficient for manufacturing of current large-scale integration (LSI) devices fabricated on Si(1 0 0) surface. However, when cleaning of Si(1 1 0) surface, higher performance cleaning technology is indispensable. On Si(1 1 0) surface, the hole mobility is two to three times larger than that on current Si(1 0 0) surface [1 – 4], as a result, the current drivability of p-MOSFETs and n-MOSFETs can be the same and p- and n-MOSFETs can be balanced in complementary metal oxide semiconductor structures (balanced CMOS) [4]. In this section, new cleaning technology in which the silicon surface is not exposed to OH2 ions and OH* radicals by isolating the atmosphere of cleaning area from oxygen is described.
B. MICROROUGHNESS
OF
SILICON SURFACE
Figure 3.19 shows (a) AFM image and (b) ultra-high vacuum STM image of Si(1 1 0) surface treated by modified RCA clean (shown in Section IIB) [5]. The lines like trough to the k21 1 0l direction are observed. This is caused when the silicon surface is etched by OH2 ion in NH4OH/H2O2 solution during the RCA cleaning. It is described that the modified RCA clean can remove the particles on Si(1 0 0) surface without generating the surface microroughness by optimizing the NH4OH concentration in the NH4OH/H2O2 solution. However, the surface microroughness is generated on Si(1 1 0) surface even if the same cleaning technology is used. Then, the 5-step cleaning technology which does not use alkali solutions is applied to cleaning of Si(1 1 0) surface. The OH* radicals generated by the megasonic (MS) irradiation decompose the surfactant. Then, in MS-irradiated FPM (HF/H2O2)/surfactant/H2-UPW which is the second step of the 5-step roomtemperature cleaning, H2-UPW is introduced instead of UPW as hydrogen in H2-UPW reacts with OH* to form water in an instant. Figure 3.20 shows the quantity of OH* radicals in the water with and without MS irradiation [6,7]. Even in the acid solution, larger quantity of OH* radicals are generated by the MS irradiation, 10 times that in alkali solutions. Figure 3.21 shows the AFM images of Si(1 1 0) surfaces before treatment (a), treated with MS-irradiated H2-UPW (b), and treated with ms-irradiated UPW (c). Although microroughness of Si(1 1 0) surface in the case of
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
80
(a) AFM image (1 µm × 1 µm)
(b) STM image (40 nm × 40 nm)
FIGURE 3.19 (a) AFM image and (b) Ultra-high vacuum STM image of Si(1 1 0) surface treated by modified RCA clean.
MS-irradiated UPW increases compared with that before treatement, that treated in MS-irradiated UPW does not increase and is the same as that before treatement. These indicate that the OH* radicals generated by MS irradiation to UPW are suppressed by hydrogen addition. Microroughness of the silicon surface increases by dissolution of silicon atoms with growth of native oxide in the oxygen-dissolved water [8,9]. Figure 3.22 shows (a) the native oxide thickness, (b) quantity of the dissolved silicon, and (c) average microroughness of silicon surface (Ra) of Si(1 0 0) and Si(1 1 0) wafers in the 8 ppm dissolved-oxygen UPW for 24 h. Although growth of both the oxides are the same, the quantity of silicon atoms from Si(1 1 0) surface is about five times larger than that from Si(1 0 0) surface, as the result, the Ra value of Si(1 1 0) surface is much larger than that of Si(100) surface. Figure 3.23 shows (a) the native oxide thickness, (b) quantity of the dissolved silicon, and (c) average microroughness of silicon surface (Ra) of Si(1 0 0) and Si(1 1 0) wafers in the 0, 8, and 42 ppm dissolved-oxygen UPW for 24 h. Also, 42 and 8 ppm in the
Number of pH on radicals [1/l]
4.000E + 19
3.000E + 19
2.000E + 19
1.000E + 19
0.000E + 00 0.0
2.0
4.0
6.0
8.0
10.0
12.0
pH [−]
FIGURE 3.20 Quantity of OH* radicals in the water with and without MS irradiation [6,7].
High-Performance Wet Cleaning Technology
(a) Before treatment (1 µm × 1 µm)
(b) After H2UPW + MS rinse (1 µm × 1 µm)
81
(c) After UPW + MS (1 µm × 1 µm)
FIGURE 3.21 AFM images of Si(1 1 0) surfaces (a) before treatment, (b) treated in MS irradiated H2-UPW, and (c) treated in MS-irradiated UPW.
UPW is the saturation concentration of 100% of ambient oxygen and the air, respectively, and 0 ppm is realized by isolating the O2 in the N2 ambient. The dissolved Si concentration from Si(1 1 0) surface and the average microroughness of the Si(1 1 0) surface have the largest values in 8 ppm dissolved-oxygen UPW compared with other dissolved oxygen concentrations. This is considered as follows. 1. When dissolved oxygen concentration is sufficiently high, the stable SiO2 film is formed on the silicon surface and the silicon atoms are hard to dissolve into UPW from silicon surface, as a result, the microroughness does not increase.
FIGURE 3.22 (a) The native oxide thickness, (b) quantity of the dissolved silicon, and (c) average microroughness of silicon surface (Ra) of Si(1 0 0) and Si(1 1 0) wafers in the 8 ppm dissolved-oxygen UPW for 24 hr.
82
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 3.23 (a) The native oxide thickness, (b) quantity of the dissolved silicon, and (c) average microroughness of silicon surface (Ra) of Si(1 0 0) and Si(1 1 0) wafers in the 0, 8, and 42 ppm dissolvedoxygen UPW for 24 h. In this, 42 and 8 ppm in the UPW is the saturation concentration of 100% of oxygen ambient and the air, respectively, and 0 ppm are realized by isolating from O2 in the N2 ambient.
2. When dissolved oxygen concentration is sufficiently low, the oxidation of silicon surface and dissolution of silicon atoms cannot occur in UPW, as result, the microroughness does not increase. 3. Except for the above conditions, the microroughness of the silicon surface increases by dissolution of silicon atoms with the growth of native oxide in the oxygen-dissolved water. In the high dissolved-oxygen concentration case, the growth of native oxide cannot be controlled during the cleaning and the rinsing periods. Then, in order not to make the microroughness increase, it is important to remove the dissolved oxygen of UPW thoroughly. Moreover, reduction of rinsing time is also very effective for microroughness reduction. Figure 3.24 shows (a) AFM image and (b) UHV-STM image of Si(1 1 0) surface after 5-step room temperature clean including the above measures. In STM image, the portion which forms the same terrace is shown by the same contrast. Compared with Figure 3.19, the lines like trough to the k21 1 0l direction are not observed and the wide area terrace is observed on Si(1 1 0) surface treated by the 5-step room temperature clean. Thus, the cleaning technology which dose not generate the microroughness of Si(1 1 0) surface can be realized as the suitable 5-step room temperature clean in which the silicon surface is not exposed to OH2 ions or the OH* radicals.
C. HYDROGEN TERMINATION
OF
SILICON SURFACE
On the Si(1 0 0) surface, the energy level of the silicon surface is the same as a bulk of the silicon, as a result, the silicon surface terminated by hydrogen is chemically stable [10]. Figure 3.25 shows the
High-Performance Wet Cleaning Technology
83
(b) STM image (40 nm × 40 nm)
(a) AFM image (1 µm × 1 µm)
FIGURE 3.24 (a) AFM image and (b) UHV-STM image of Si(1 1 0) surface after 5-step room temperature clean including above measures.
Relative Ion Intensity [%]
2.5
2.0 1.5
1.0 0.5
0.0
0
200
400 600 Wafer temperature [°C]
800
FIGURE 3.25 Thermal desorption characteristics of the hydrogen from Si(1 0 0) surface treated by the diluted HF and the UPW rinsing.
FIGURE 3.26 Schematic molecule model of the hydrogen-terminated Si(1 0 0) surface.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
84
Relative Ion Intensity [%]
2.5 2.0 1.5 1.0 0.5 0.0 0
200
400
600
800
Wafer temperature [°C]
FIGURE 3.27 TDS characteristics of the hydrogen from Si(1 1 0) surface treated by the diluted HF and the UPW rinsing.
thermal desorption characteristics of hydrogen from Si(1 0 0) surface treated by the diluted HF and the UPW rinsing. Two peaks at 3808C and 5208C are observed in this graph [11]. A silicon atom in the Si(1 0 0) surface is terminated by two hydrogen atoms (shown in Figure 3.26) [12]. A dangling bond of a silicon atom which is generated by desorption of one of two hydrogen atoms bonds with the next one at 3808C and remaining hydrogen is desorbed from Si(1 0 0) surface at 5208C [11]. Hydrogen is desorbed from the Si(1 1 0) surface only at 5308C (shown in Figure 3.27). Figure 3.28 shows the characteristics of the thermal desorption spectroscopy (TDS) of (a) Si(1 0 0) and (b) Si(1 1 0) surfaces just after diluted HF treatment and UPW rinsing and after exposure to air for 2 and 12 h after diluted HF treatment and UPW rinsing. Only the 5308C peak decreases after exposure to air for 2 hours, and then, both 5308C and 3808C peaks decrease after exposure to air for 12 hours. Figure 3.29 shows the quantity of Si22O bond evaluated by an attenuated total reflectance Fourier transform infrared (FTIR/ATR) spectrometry. Although the hydrogen terminations decreased, the Si22O bonds on the Si(1 0 0) surface increased. This means the Si(1 0 0) surface is oxidized with desorption of the surface hydrogen terminations. Figure 3.30 shows the schematic structure of (a) a silicon atom terminated by two hydrogens on Si(1 0 0) (a)
(b) 2.5
Relative Ion Intensity [%]
Relative Ion Intensity [%]
2.5 2.0 1.5 1.0 0.5 0.0
0
200 400 600 Wafer temperature [°C]
800
2.0 1.5 1.0 0.5 0.0 0
200
400
600
800
Wafer temperature [°C]
FIGURE 3.28 TDS characteristics of (a) Si(1 0 0) and (b) Si(1 1 0) surfaces just after diluted HF treatment and UPW rinsing and after exposure to the air for 2 and 12 hr after diluted HF treatment and UPW rinsing.
High-Performance Wet Cleaning Technology
85
0.05
0.05 12 h
0.03 2h 0.02 0.01 0.00
12 h
0.04 Absorbance
Absorbance
0.04
0.03 2h 0.02 0.01 0.00
0h − 0.01
1300
1200 1100 1000 Wave number [cm−1] (a) Si(100)
0h −0.01
1300
1200 1100 1000 Wave number [cm−1] (b) Si(110)
FIGURE 3.29 Quantity of Si2 2O bond evaluated by an ATR/FT-IR spectrometry.
surface, (b) Si(1 0 0) surface terminated by hydrogen after desorption at 3808C, and (c) Si(1 1 0) surface terminated by hydrogen atoms. In order to oxidize the surface (a), the oxygen atom must replace the terminated hydrogen atoms of the surface, or oxygen must enter into the back bond of the surface silicon atoms. Since the Si22H bond of the Si(1 0 0) surface is stable and the back bond of silicon cannot be exposed easily to the O2 or H2O molecules, when the hydrogen termination of the Si(1 0 0) surface is carried out completely, it is very hard to oxidize in the air atmosphere. In the case of (b) and (c) surfaces, as a combination of adjacent two silicon atoms appears in the surface, this silicon atoms are easily oxidized by the oxygen in the atmosphere. The electrons of Si22H’s covalent bond of oxidized silicon can be attracted to the direction of the oxygen atoms having large electronegativity (oxygen: 3.0). The bonds of the silicon atoms are therefore polarized by the opposite side of oxygen (They are hydrogen atoms and silicon atoms in the case of Si(1 0 0) surface and Si(1 1 0) surface respectively), and these bonds become weaker, and oxidation of the
FIGURE 3.30 Schematic structure of (a) Si(1 0 0) surface terminated by two hydrogens a silicon atom, (b) Si(1 0 0) surface terminated by hydrogen after desorption at 3808C, and (c) Si(1 1 0) surface terminated by hydrogen.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
86
silicon surface is accelerated further. In the case of Si(1 1 0) surface, the oxidation of the surface is not avoided in essence. Because it is difficult to make hydrogen termination of silicon surface 100% on Si(1 0 0) surface, the oxidation of the surface cannot be avoided too. Thus, the native oxide growth cannot be suppressed in air. It is concluded that the wet processes and transfer atmosphere before next process at which the silicon surface must not oxidize (as the gate oxidation), and so on must be carried out in an atmosphere without oxygen and moisture.
REFERENCES 1. T. Sato, Y. Takeishi, H. Hara, and Y. Okamoto, Mobility anisotropy of electrons in inversion layers on oxidized silicon surfaces, Phys. Rev. B, 4, 6, pp. 1950– 1960, 1971. 2. S. Sugawa, I. Ohshima, H. Ishino, Y. Saito, M. Hirayama, and T. Ohmi, Advantage of silicon nitride gate insulator transistor by using microwave-excited high-density plasma for dappling 100 nm technology node, in: Proceedings of the IEDM Technical Digest 2001, pp. 817 –820, 2001. 3. T. Mizuno, N. Sugiyama, T. Tezuka, Y. Moriyama, S. Nakaharai, and S. Takagi, [1 1 0]-surface strained-SOI CMOS devices with higher carrier mobility, in: Proceedings of the VLSI Technical Digest 2003, pp. 97– 98, 2003. 4. A. Teramoto, T. Hamada, H. Akahori, K. Nii, T. Suwa, K. Kotani, M. Hirayama, S. Sugawa, and T. Ohmi, Low noise balanced-CMOS on Si(1 1 0) surface for analog/digital mixed signal circuits, in: Proceedings of the IEDM Technical Digest 2003, pp. 801 – 804, 2003. 5. T. Ohmi, M. Miyashita, M. Itano, T. Imaoka, and I. Kawanabe, Dependence of thin-oxide films quality on surface microroughness, IEEE Trans. Electron Devices, 39, 537 – 545, 1992. 6. M. Toda, M. Kato, K. Kubo, S. Ojima, and T. Ohmi, Radical activation of DI water and cleaning solution by megasonic, R. E. Novak and J. Ruzyllo, Eds., Cleaning Technology in Semiconductor Device Manufacturing, PV95-20, The Electrochemical Society, Pennington, NJ, pp. 99 – 106, 1996. 7. S. Ojima, K. Kubo, M. Kato, M. Toda, and T. Ohmi, Megasonic excited ozonized water for the cleaning of silicon surface, J. Electrochem. Soc., 144, 1482 –1487, 1997. 8. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and M. Ohwada, Growth of native oxide on a silicon surface, J. Appl. Phys., 68, 1272– 1281, 1990. 9. M. Morita, T. Ohmi, E. Hasegawa, and A. Teramoto, Native oxide growth on silicon surface in wet ambient, in: Extended Abstracts of the 22nd (1990 International) Conference on Solid State Devices and Materials, Part II, Sendai, pp. 1063– 1066, 1990. 10. T. Ohmi, Surface chemical electronics at the semiconductor surface, Appl. Surf. Sci., 121/122, 44– 62, 1997. 11. N. Yabumoto, K. Minegishi, K. Saito, M. Morita, and T. Ohmi, An analysis for cleaned silicon surface with thermal desorption spectroscopy, J. Ruzyllo and R.E. Novak, Eds., Semiconductor Cleaning Technology/1989, PU90-5, The Electrochemical Society, Pennington, NJ, pp. 265–272, 1990. 12. Y. J. Chabal, G. S. Higashi, and K. Raghavachari, Infrared spectroscopy of Si(1 1 1) and Si(1 0 0) surfaces after HF treatment: hydrogen termination and surface morphology, J. Vac. Sci. Technol., A7(3), 2104– 2109, 1989.
VI. TOTAL ROOM-TEMPERATURE CLEANING PROCESS [1–4] HIROSHI MORITA
A. TOTAL ROOM-TEMPERATURE 4-STEP CLEANING PROCESS 1. O3-UPW for Wet Cleaning Process a. Introduction First, the history of the wet-cleaning process using O3-UPW is reviewed. Ozonated water prepared by dissolving O3 gas in water has extremely high redox potential. Both ozone gas and ozonated water have been used for a long time for sterilization and bleaching because of their strong
High-Performance Wet Cleaning Technology
87
oxidizing action. Prof. Ohmi’s laboratory at Tohoku University first noted the outstanding sterilizing effect of dissolved ozone, and applied ozone to continuous sterilization of UPW circulation system. The system is composed of two parts: a subsystem to increase cleanliness of pure water and a piping system to deliver UPW to POU and send excess UPW back to a tank at the inlet of the subsystem. O3 gas dissolved UPW was found to feature sufficiently high sterilization effect even when ozone concentration was as low as 50 ppb [5]. It was also learned that the inner surface of the piping system, which came in contact with O3 gas dissolved UPW, deteriorated due to the oxidizing action of ozone. In other words, it was found necessary to select an appropriate piping material having high oxidation resistance. Based on these findings, Prof. Ohmi’s laboratory studied the application of O3-UPW to wet-cleaning processes to use its strong oxidizing effect to decompose and remove organic impurities from Si wafer surfaces [6,7]. As a result, O3-UPW was found to have high removal efficiency against some metallic impurities as well as against organic impurities [8 – 11]. Ozone dissolved in water features a characteristic to convert itself to oxygen through selfdecomposition. This means that effluent from O3-UPW cleaning processes does not adversely affect the environment as ozone in effluent gets self-decomposed to oxygen. O3-UPW, therefore, was studied actively, being suitable for application in numerous areas. In spite of its high-cleaning efficiency and advantage in terms of environment conservation, the introduction of O3-UPW to mass production struck a snag because it was found extremely difficult to precisely control ozone concentration.
b. Cleaning Efficiency of O3-UPW i. Removal of Organic Impurities
Semiconductor devices are manufactured in a cleanroom. ULPA and HEPA filters are installed in the cleanroom to considerably reduce particles in the air that circulates in it. A common air filtering system does not have a function to remove organic impurities but a large amount of plastic materials such as a wafer box are used in cleanroom. Organic impurity concentration of cleanroom air is therefore as high as or higher than that of outdoor air. Consequently, a Si wafer surface is subject to organic impurity contamination during its manufacturing and storage processes. O3-UPW is highly effective in cleaning the wafer surface contaminated with organic impurities in the cleanroom air. In this study, the contact angle of wafer surface was measured to evaluate the cleaning efficiency of O3-UPW [2,3]. Clean and oxidized Si wafer surface is hydrophilic. When contaminated with organic impurities, the surface gradually gets hydrophobic and the contact angle of water droplet on the surface gets higher. Contact angle has been used as a rough measure to evaluate organic impurities contamination. Before measuring contact angle, Si wafers were treated with 10-min-long SPM cleaning and 10-min long UPW overflow rinsing to remove fully the organic impurities. Si wafer surface treated with SPM cleaning is highly hydrophilic as it is free from organic contamination and covered with chemical oxide. Contact angle of water droplet on Si wafer right after SPM cleaning and UPW rinsing was 2– 38. These wafers were left in a cleanroom (class 100) for 5 days, and contact angle of water droplet was measured again. That was found to increase to 23– 248. This is considered because Si wafer surface becomes hydrophobic due to adhesion of organic impurities. These wafers contaminated with organic impurities were cleaned with O3-UPW by spin-cleaning equipment. Figure 3.31 shows the contact angle as a function of cleaning time. The contact angle was restored to its original level within 1 min by adjusting ozone level at 0.6 ppm or higher. The contact angle decreased more rapidly with rise in ozone concentration. When ozone
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
88
Pre-Cleaning : After SPM cleaning, wafer was exposed itself to clean room for 5 days. Result
Procedure
Pre-Cleaning Exposure O3-UPW Cleaning Measurement Hydrophobic (Contaminated) Water Drop
Contact Angle (°)
25 0 ppm
20 15
0.6 ppm
10
3 ppm 5
Hydrophilic 0 (Clean) Contact Angle 0
5 ppm 10
20
30
40
50
60
Cleaning Time (sec)
FIGURE 3.31 Organic contaminants removal efficiency of O3-UPW.
concentration was set at 5 ppm, contact angle was brought back to its original level in about 10 sec. When being cleaned with UPW, contact angle was decreased only by 58 in 1 min. ii. Removal of Metallic Contaminants Removal of Cu contamination When the cleaning or rinsing solution contains Cu, Cu2þ gets
easily precipitated on bare Si surface as metallic copper (Cu0) [9,12,13]. Unlike the base metals such as Fe and Al, on Si surface Cu is very hard to be removed in DHF cleaning, and it diffuses into bulk Si to generate crystalline defects and deep levels which trigger increase of junction leakage. Because Cu features high electronegativity, Cu2þ strongly tends to bind with electron. Taking electrons from Si substrate, Cu2þ turns into Cu0 and adheres electrochemically to bare Si surface. O3-UPW features much higher electronegativity than Cu. Therefore, O3-UPW, is capable of taking electrons from Cu on wafer surface to oxidize (ionize) Cu. Figure 3.32 shows Cu adhesion after various cleanings. Prior to this test, sample wafers were immersed into DHF with a very small amount of copper sulfate to be contaminated with Cu. Then, the contaminated sample wafers were treated with O3-UPW (ozone concentration: 5 ppm) at room temperature. In a an attempt to enhance the cleaning efficiency, small amounts of HCl (3.6 ppm)
Procedure
Cleaning 5 ppm O3-UPW 25°C HPM (1:1:6) 40°C
Measurement TXRF
Cu Remaining (atom/cm2)
Initial in CuCl2 spiked DHF
Result 1.0E + 12
Initial 5 ppm O3-UPW 25°C HPM (1:1:6) 40°C
1.0E + 11
1.0E + 10
1.0E + 09 Initial
1
2 Cleaning Time (min)
FIGURE 3.32 Cu contaminants removal efficiency of O3-UPW.
15
High-Performance Wet Cleaning Technology
89
was injected to O3-UPW to adjust its pH at 4.0. For comparison, HPM cleaning was conducted at 408C (though it is usually performed at 708C). This experiment reveals that room-temperature O3UPW with trace HCl injected is more effective than plain HPM solution, in removing copper contamination. The surface of copper metal on Si wafer is oxidized in the cleanroom air just like Si surface. Copper oxide or copper hydroxide layer cannot be dissolved in neutral O3-UPW. However, the layer is easy to be dissolved in acidic solution. Therefore, dosing of acid in O3-UPW is very important to remove Cu contamination. Even without any acidic chemical dosing, low-pH O3-UPW can be produced by CO2 gas dissolution. CO2 added O3-UPW does not need rinsing after cleaning because it is a chemicalfree solution. Figure 3.33 shows Cu removal efficiency of CO2-added O3-UPW [14].
Removal of other metallic impurities: Characteristics are greatly varied from one metal to another
[15]. From the cleaning viewpoint, metals are divided into two categories: those which are easily dissolved into oxidizing solution and those which are easily dissolved into acidic solution. Cu is a representative of the first group whereas Fe and Al are constitute the second group. In order to have high cleaning efficiency for all these different metals, the cleaning solution needs to be strongly oxidizing and acidic. The conventional cleaning solutions such as HPM and SPM are strongly oxidizing as well as acidic, and therefore they are widely applicable. As O3-UPW without any chemical injection or with CO2 addition is neutral or weakly acidic, it is not capable of removing those metallic impurities that are to be dissolved in strong acid solutions. With large amount of acid addition, O3-UPW becomes effective against most of metallic impurities just like HPM solution. Injection of acid, however, makes O3-UPW environmentally hazardous, which in essence cancels one of the major advantages of O3-UPW, namely environmentally benign cleaning solution. It is true that O3-UPW is not universally effective against various metals. Those metals that are easily dissolved into strong acid solution can be readily removed by means of oxide etching in HFbased cleaning though they are hard to remove with O3-UPW. Combined with HF-based cleaning, O3-UPW is regarded as a highly efficient functional water because (i) it can remove not only metals such as Cu and Ag which cannot be removed in DHF cleaning and (ii) also organic impurities and also removed simultaneously.
Cu concentration (atoms/cm2)
1015
1.30 V (vs NHE)
1014 1013 1.36 V (vs NHE)
1012
1.42 V (vs NHE)
1011 1010 109
as-contamination
pH 6.5 UPW only
pH 5.0
pH 4.0
CO2 concentration
FIGURE 3.33 Dependence of Cu removal efficiency on pH and ORP value in CO2 added O3-UPW.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
90
2. H2-UPW for Wet Cleaning Process [16] a. Introduction This section describes H2-UPW in detail. H2-UPW is nothing but a reducing water prepared by dissolving hydrogen of high concentration (more than 1 ppm) into UPW. It has been revealed, however, that H2-UPW becomes a functional water for the wet process featuring extremely high-cleaning efficiency when it is combined with megasonic irradiation. In some cases, its cleaning efficiency is found much higher than that of the conventional high-concentration chemical cleaning process. Why does UPW with hydrogen dissolved feature outstanding cleaning efficiency? Its particle removal mechanism is studied next by making a model. Results of verification of the model are also reported. Other benefits of H2-UPW cleaning than particle removal are to be reported at the end of this Section. b. Relation between Dissolved Hydrogen Concentration and Particle Removal Efficiency [17,18] H2-UPW produced by the gas-dissolving method was tested for its cleaning efficiency. Si wafers of 6- and 8-in. diameter were used in these tests. The test procedure is as follows: Sample wafers contaminated intentionally ! Measure particle count on the wafers ! Clean the contaminated wafers by spin-type cleaning equipment with MS nozzle ! Measure particle count again At the outset of this study, particle removal efficiency of H2-UPW was examined first to prove the relevant assumption. When the series treatment of “deaeration ! gas injection” is used to dissolve hydrogen gas into UPW fed at a constant flow rate, concentration of dissolved hydrogen is determined solely by the amount of introduced hydrogen gas. H2-UPW with various hydrogen concentrations were prepared for this experiment, by varying the amount of introduced hydrogen gas. Figure 3.34 shows particle removal efficiency as a function of concentration of dissolved hydrogen when cleaning time is fixed at 1 min. It is revealed that these two parameters have positive
FIGURE 3.34 Relationship between dissolved hydrogen concentration of UPW and alumina particle removal efficiency.
High-Performance Wet Cleaning Technology
91
correlation. Merely by raising the concentration of dissolved hydrogen above 1 ppm, alumina abrasive, which is hard to remove, can be removed by 95% or more. c. Removal of Alumina Particle and Silica Particle Figure 3.35 and Figure 3.36 show respectively the alumina and silica particle removal efficiency of H2-UPW. Particles larger than 0.12 mm are measured. In these tests, H2-UPW and UPW were used with and without injection of 1 ppm NH3. As shown in Figure 3.35, H2-UPW with NH3 of 1 ppm is effective in removing minute alumina particles: removal efficiency of 100% is achieved by 30-sec long cleaning. H2-UPW without NH3 injection is also found to feature alumina particle removal efficiency of over 90%, but it is not able to completely remove the particles. The silica particle used in the test (Figure 3.36) are commonly present in the slurry of CMP process, increasingly adopted as surface planarization technology presently. CMP process was not performed in this test. Instead, similar to the test with alumina abrasive, sample wafers were immersed in UPW with diluted silica slurry and then they were dried in order to prepare sample wafers contaminated with silica particle. The slurry residue is extremely hard to be removed once it dries on the wafer surface. It is a common practice in current CMP, therefore, to send wet wafers to a single-wafer cleaning system on completion of the CMP process. In our study, however, sample wafers were dried after being immersed in silica slurry solution, and then sent to cleaning. H2-UPW, even without NH3 spike, was found effective in completely removing silica particles within as short as ten seconds. Both UPW and UPW with 1 ppm NH3 were found totally ineffective in removing silica particles of CPM slurry, which demonstrates how difficult it is to remove dried silica particles. These results have proven that high-concentration H2-UPW is extremely outstanding as a functional water with far higher cleaning efficiency than UPW. d. Comparison between APM Cleaning and H2-UPW Cleaning The above-described test results demonstrate that H2-UPW cleaning can be used as a substitute not only for UPW cleaning but also for high-concentration chemical cleaning. In an attempt to come up with further supporting data, cleaning using H2-UPW was compared with cleaning using APM solution which has been widely adopted throughout the world to remove particles.
FIGURE 3.35 Removal efficiency of alumina particle by spin-type cleaning equipment with MS nozzle using various cleaning solution.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
92
FIGURE 3.36 Removal efficiency of silica particle by spin-type cleaning equipment with MS nozzle using various cleaning solution.
Spin-type equipment is not suitable for cleaning using APM solution as it uses high-concentration chemicals at high temperature. In this comparison test, therefore, batch-type cleaning was performed, instead of spin cleaning, using MS bath (0.75 MHz and 650 W) for 6 in. wafer. Conventional APM . . .
NH4OH:H2O2:H2O ¼ 1:1:5 Heated to 708C Without MS irradiation H2-UPW
. . . . .
NH3 of 1 ppm spiked Dissolved hydrogen concentration of 1.3 ppm Overflow at flow rate of 5 l/min Room temperature With MS irradiation
After each cleaning step, sample wafers were treated with 5-min overflow rinsing in UPW bath, and then with spin drying. Figure 3.37 shows the results. The H2-UPW cleaning is found more effective in removing alumina particles from wafer surface. As cleaning time is shorter, gap in particle removal efficiency between the two recipes is found to grow bigger. This implies that H2-UPW cleaning features a different particle removal mechanism from that of APM cleaning. The conventional APM cleaning requires several minutes to remove particles. It is considered because alumina particle removal requires a certain etching depth. e. Effects of H2-UPW Cleaning on Wafer Surface Microroughness [16] Outstandingly high particle removal efficiency of H2-UPW has been discussed so far. Information on particle removal efficiency alone, however, is not sufficient to apply this cleaning technology to mass production process.
High-Performance Wet Cleaning Technology
93
Particle Removal Rate (%)
100
80
H2-UPW + NH3 (1 ppm) Room Temp. with MS
60 40 SC-1(NH4OH:H2O2:UPW = 1:1:5) 70°C without MS
20 0
0
50
100
150
200
Cleaning Time (sec)
FIGURE 3.37 Comparison of cleaning efficiency between NH3 added H2-UPW þ MS and APM in batch-type cleaning equipment.
To closely simulate the practical device manufacturing process, four different wafer surfaces were prepared in this study. . . . .
Si wafer surface with native oxide (not intentional) Si wafer surface with chemical oxide grown in O3-UPW cleaning Bare Si wafer surface right after DHF cleaning ˚ thick Si wafer surface with thermal oxide of 1000 A
Just like the particle removal tests, sample wafers were cleaned with a spin cleaner equipped with MS nozzle (1.6 MHz, 48 W). Cleaning time was set at 600 sec, 20 times as long as an ordinary cleaning time (30 sec at the longest) as the primary purpose of this study was to find out whether Si wafer surface was damaged during the cleaning step. Sample wafers were fragmented before and after cleaning step. Angstrom-order surface microroughness of these fragments were evaluated with atomic force microscope. Table 3.1 shows representative values. The followings have been revealed: 1. For Si surfaces with native oxide and with chemical oxide, no changes are detected in terms of angstrom-order microroughness both after H2-UPW cleaning and after H2-UPW þ NH3 (1 – 5 ppm) cleaning. 2. For bare Si surface right after DHF cleaning, microroughness does not increase in H2-UPW cleaning, but considerable increase of microroughness is detected after H2-UPW þ NH3 cleaning. 3. For Si surface with thermal oxide, MS cleaning using both H2-UPW and H2UPW þ 1 ppm NH3 considerably reduce microroughness. These results suggest that Si wafer should not be treated with H2-UPW with even trace amount of NH3 injected immediately after DHF cleaning. In the other cases, H2-UPW cleaning does not affect the surface microroughness deterioration of Si wafer. This is a clear advantage of H2-UPW cleaning over APM cleaning. Deterioration of surface microroughness of Si wafer is intrinsically inevitable in APM cleaning, which etches wafer surface to lift off particles to be removed. These test results have demonstrated that H2-UPW cleaning is fully feasible in mass production line.
94
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
TABLE 3.1 Influence of NH3 Added H2-UPW 1 MS Cleaning on Surface Microroughness of Si Wafer ˚) z-Range (A
˚) SD (A
Chemical oxide surface (after O3-UPW) Nontreatment Nonchemical 1 ppm-NH3 added 5 ppm-NH3 added
15.6 13.3 16.8 12.3
2.2 2.3 3.2 1.6
Bare Si surface (right after DHF) Nontreatment Nonchemical 1 ppm-NH3 added
26.9 27.3 77.5
5.0 2.9 3.9
˚ ) surface Thermal oxide (1000 A Nontreatment Nonchemical 1 ppm-NH3 added 5 ppm-NH3 added
24.5 9.1 7.8 21.8
3.2 1.3 1.0 2.6
Note: Dissolved H2 concentration ¼ 1.2 ppm-H2-UPW; Megasonic condition ¼ 1.6 MHz, 13.5 W/cm2; Cleaning time ¼ 600 sec; SD, standard deviation.
In addition, an unexpected result has been obtained in this experiment: H2-UPW cleaning is found to improve surface smoothness of thermal oxide. It would be possible to apply this technology in a process which requires angstrom-order rigorous surface smoothness.
f. Cleaning by UPW with Other Gases than Hydrogen Gas Dissolved [16,19,20] In order to find out whether extremely high particle removal efficiency of H2-UPW is attributable to a unique characteristic of hydrogen gas or other gases, namely oxygen, nitrogen, argon, and helium, the gas-dissolving method was adopted. There is no simple means available to measure concentration of dissolved nitrogen, argon, and helium. In this study, UPW is almost fully deaerated in advance. Then, each one of the three gases was introduced to the gas-dissolving module while limiting the amount of gas below theoretical maximum to be dissolved. Each gas species features unique saturation concentration. In order to evaluate the effects of every gas-dissolved solution on an equal basis, the degree of saturation (percentage against saturation concentration) was aligned among the five gases as much as possible. Figure 3.38 shows the results. Alumina particle removal efficiency is higher in the following order: hydrogen . oxygen . argon . helium . nitrogen (. deaerated UPW) This means H2-UPW is particularly effective in removing particles. UPW is usually purged with nitrogen in the reserving tank, therefore it is close to an uncontrollable nitrogenated UPW (N2-UPW). N2-UPW is found to feature lower particle removal efficiency than UPW with dissolved oxygen or inert gases (Ar or He). However, the particle removal efficiency of nitrogen is higher than degassed UPW.
High-Performance Wet Cleaning Technology
95
Al2O3 Particle Removal Rate (%)
100 90 80 70 60 50 40 30 20 10 0 H2-UPW 1.2ppm
He-UPW 1.5ppm
N2-UPW 20ppm
O2-UPW 30ppm
Spin Cleaning: (500 rpm) × 60 sec Megasonic 13.5 W/cm2
Ar-UPW 36ppm saturation rate = 60–100%
FIGURE 3.38 Effect of dissolved gas species for particle removal rate in spin-type cleaning with MS nozzle.
g. Particle Removal Mechanism of H2-UPW Cleaning [16] Why does UPW with hydrogen gas and trace NH3 dissolved feature higher particle removal efficiency than high-concentration chemical solutions? This Section discusses particle removal mechanism of H2-UPW, setting up and verifying its particle removal model. There are three controllable parameters in H2-UPW cleaning: (1) concentration of dissolved hydrogen, (2) NH3 concentration (pH), and (3) MS power. Temperature of H2-UPW could be another parameter, but temperature was fixed at room temperature in this study. This is because one of the important practical advantages of H2-UPW cleaning is that it features high particle removal efficiency even at room temperature. In order to completely remove the particles from Si wafer surface, it is essential to prepare an environment which does not allow particles in cleaning solution to re-adhere to Si wafer surface and then lifts off particles from Si surface by means of some force. Three controllable parameters were investigated in detail to find out which one contributes to which mechanism, namely prevention of particle re-adhesion or release of particle from Si surface, in what way. i. Effects of NH3 Spike [2,3]
Information on “zeta potential” of various material surfaces is extremely important to come up with rough idea whether or not a particle easily adheres to various substrate surfaces such as Si surface. Figure 3.39 shows the data. In acidic solutions, materials other than Si are charged positively and Si is charged negatively. Si surface, therefore, is prone to attract electrically particles of other materials in acid solutions. In alkaline solutions, on the other hand, zeta potential is always negative regardless of the material. Once particles are lifted off from Si surface, therefore, they hardly re-adhere to Si surface. Particle removal mechanism of APM cleaning is explained in two ways. Particle-lift off action is attributed to oxidation of Si surface because of the oxidizing force of H2O2 and etching of oxidized surface with high-temperature ammonia (alkali). Particle re-adhesion is prevented as both particle surface and Si wafer surface feature negative zeta potential in alkaline region. H2-UPW, when NH3 is not injected, is neutral just like UPW. In the neutral region, most materials are charged negatively whereas alumina and Si3N4 are charged positively. This is why these impurities are prone to adhere to oxide and bare Si surface in neutral solution. When NH3 of 1 ppm is spiked, pH goes up to 9.4. In this region, the zeta potential of alumina and Si3N4 also turns negative. This is why the removal rate of alumina particles are improved when NH3
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
96 80
PSL
Zeta Potential (mV)
60 40
Si
SiO2
PSL
Si3N4
a-Al2O3
Si3N4 a-Al2O3
20 0
SiO2
−20
Si
−40 −60 −80
2
4
6
8
10
12
pH
FIGURE 3.39 Relationship between pH and zeta potential of some materials in aqueous solution.
of as little as 1 ppm is injected. Even in neutral solution, silica particles as well as Si wafer surface covered with oxide layer feature zeta potential of the same polarity. Silica particles are hard to readhere to Si wafer surface once they are lifted off. This is why even neutral H2-UPW features as high a removal efficiency as NH3-injected H2-UPW. Figure 3.40 schematically shows these mechanisms. Increase of NH3 injection makes the cleaning solution not only etches the Si wafer surface it as well charges every material negatively. Unlike APM cleaning, H2-UPW þ NH3 cleaning does not have the ability to oxidize Si wafer surface. As a result, Si surface is not oxidized but just etched, which is not preferable in terms of prevention of microroughness deterioration. NH3 concentration needs to be high enough to keep all materials charged negatively but low enough to prevent unwanted etching. Optimum level of NH3 concentration should be 1 –5 ppm.
ii. Effects of MS Irradiation [2,3]
Development of H2-UPW cleaning was triggered by the idea of “effects of deaeration in MS cleaning” as described before. H2-UPW cleaning, therefore, was always combined with MS irradiation. It was studied here whether or not it was essential to perform MS irradiation in order to obtain high particle removal efficiency. MS power was varied widely in alumina particle removal tests to see how removal efficiency was affected. It has been revealed that particle removal efficiency drops dramatically when MS power is reduced below a certain level. In other words, there seems to be a threshold level Al2O3 + + ++ Adhere -
-
Al2O3
SiO2
- - Repulse
-
Repulse -
-
-
Wafer Surface (Si, SiO2) pH7 (non Chemical)
-
-
SiO2 -
Repulse -
-
-
Wafer Surface (Si, SiO2) pH9.4 (1ppm-NH3 Dosed)
FIGURE 3.40 Model of particle adhesion and repulse on wafer surface in aqueous solution.
High-Performance Wet Cleaning Technology
97
of MS power in terms of particle removal efficiency. Without MS power above a certain level or some equivalent physical force, H2-UPW remains ineffective in removing the particles. iii. Effects of Dissolved Hydrogen [16,19,20]
This section discusses whether hydrogen gas dissolved in UPW is effective in preventing re-adhesion of particles to Si wafer. For this purpose, zeta potential fluctuation was studied as hydrogen gas was increasingly dissolved into UPW. Then, H2-UPW and UPW were compared in terms of capability to prevent particles in solution from re-adhering to Si surface. Zeta potential fluctuation [2,3]: Dissolution of hydrogen gas in UPW does not change the pH and
resistivity. Redox potential, however, changes drastically due to dissolution of hydrogen gas. UPW becomes reducing as hydrogen gas is dissolved. Does this shift in redox potential change zeta potential drastically enough to affect impurity adhesion to Si surface? Noting this question, zeta potential of particles made of various materials which were dispersed in UPW and in H2-UPW were measured. Zeta potential of various materials in H2-UPW was found slightly different from that in UPW. Dissolving hydrogen gas in UPW, however, did not change the zeta potential so dramatically to turn polarity of various materials. Degree of particle adhesion [16]: Alumina and silica particles are dispersed in UPW and in H2-UPW
separately. Then, clean sample wafers were immersed into these solutions to be contaminated. Particles in H2-UPW were found to adhere to Si wafer in the same way as those in UPW. These test results demonstrate that H2-UPW itself does not have any outstanding effects to prevent particles from adhering to Si surface. iv. Model of Correlation between Dissolved Hydrogen and MS Irradiation (Surplus Radical Model) [19,20]
It has been found that neither MS alone nor H2-UPW alone were effective enough to sufficiently remove particles. Figure 3.41 shows the data. When these two are combined with each other,
FIGURE 3.41 Cleaning data of silica particle: combination effect of H2-UPW and MS irradiation.
98
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
however, particle removal efficiency is sharply improved. It was speculated that some factor triggered by the combination of dissolved hydrogen and MS irradiation would dramatically accelerate lift-off of particles. It could be considered that this factor has something to do with microcavitation which is closely tied with MS power. There is a possible factor generated by microcavitation, that is radical. A model was worked out as shown in Figure 3.42 to describe their particle removal mechanism. It is known that when water is exposed to MS or ultrasonic (US) irradiation having power of more than the threshold, some water molecules get decomposed into H† and †OH radicals. This decomposition mechanism is explained as pyrolysis of water molecules triggered by local hot spots generated when some cavities are crushed in an expansion or shrink cycle. The number of H† radicals and that of †OH radicals are equal when they are generated. So, needless to say, a primary reaction takes place between H† and †OH radicals, which makes them reverse back to H2O state. It is also observed, however, that they react with some kinds of dissolved gases. For H2-UPW, some parts of †OH radicals seem to react with dissolved hydrogen to make the UPW having “surplus H radical.” H radicals easily react with top surface of Si wafer and particles. Highly reactive H radicals bind with dangling bonds (Si22 and Si22O22), which are active sites on top surface of Si wafer and particles, to inactivate them. It could be also expected that H radicals displace end groups of the surface to accelerate particles lift off. Although there is little change macroscopically, physical effects of vibration and scrubbing by microbubbles are combined with repulsive force between wafer surface and particles. This is due to inactivation of top surface and pseudo-etching because of atom displacement on top surface. In oxygenated UPW (O2-UPW), specifically, H radicals react with dissolved oxygen to turn to water, which makes UPW having surplus OH radical. Table 3.2 shows the relation between dissolved gas species and radical formation and behavior. There is a case in which radicals find few counterparts and appropriate dissolved gases featuring opposite properties. In such case, the “surplus radicals” are considered to be prone to react with the surface of wafer and particles. It is also speculated that H† radical, which is smaller than †OH radical, is more effective in reacting with surface of wafer and particles. There are a number of surplus H† radicals in H2-UPW exposed to MS irradiation whereas a large amount of surplus †OH radicals are found in O2-UPW. When inert gases are dissolved in UPW, some portion of H† and †OH radicals remain. Argon-dissolved UPW generates more radicals than helium-dissolved UPW. In nitrogenated UPW (N2-UPW), H† radicals and †OH radicals react with dissolved nitrogen to generate ammonia, nitric acid, and nitrous acid. N2-UPW, therefore, has fewer surplus radicals, which react with wafers and particles, than UPW with inert gases dissolved. Deaerated UPW by its nature scarcely generates radicals. The above explanation reasonably justifies the order of particle removal efficiency, namely hydrogen . oxygen . argon . helium . nitrogen . deaerated UPW, although it looked totally random in the beginning.
FIGURE 3.42 Model of surplus radicals: formation and reaction.
High-Performance Wet Cleaning Technology
99
TABLE 3.2 Affect of Dissolved Gas Species for Radical Formation in MS Irradiated UPW Dissolved Gas H2 O2 Ar He N2
Main Reaction H† þ †OH ! H2O H†2 þ †OH ! H2O H† þ †OH ! H2O O2 þ H† ! H2O † H þ †OH ! H2O H†þ †OH ! H2O H† þ †OH ! H2O N2 þ H† ! NHþ 4 N2 þ †OH ! NO2 3 ! NO2 2
Degassed
Result Surplus H radicals Surplus OH radicals
Nitric compounds
No generation
v. Verification of Surplus Radical Model [19,20]
In an attempt to verify the effects of surplus radical on the cleaning mechanism, a cleaning test with hydrogen- and oxygen-dissolved UPW was conducted. UPW with oxygen and hydrogen dissolved was prepared by injecting hydrogen of 0.4 ppm (degree of saturation: 25%) to O2-UPW featuring dissolved oxygen concentration of 33 ppm (degree of saturation: 75%). Using this solution, Si wafer contaminated with alumina particles was cleaned. The above-mentioned “surplus radical model” was applied to explain the particle removal mechanism. When hydrogen is spiked to O2UPW which generates surplus †OH radicals was exposed to MS irradiation, surplus †OH radicals decrease in number due to reaction with dissolved hydrogen. This is why UPW with oxygen and hydrogen dissolved in it features lower particle removal efficiency than simple O2-UPW although hydrogen injection usually enhances particle removal. Figure 3.43 shows results of the cleaning test, comparing O2-UPW and O2 þ H2-UPW. O2 þ H2-UPW is found to feature lower particle removal efficiency than O2-UPW. This test results is in good agreement with the assumption.
FIGURE 3.43 Comparison of MS cleaning efficiency between normal O2-UPW and H2 added O2-UPW: verification of surplus radical reaction.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
100
h. Applications of H2-UPW Other than Particle Removal It has been discussed that H2-UPW combined with MS irradiation works as an extremely effective cleaning solution. There are, however, other applications of H2-UPW in the wet process than particle removal. This section describes other benefits of H2-UPW. Suppression of native oxide growth [2,3]: Rinsing step of the wet-cleaning process usually adopts
UPW from which oxygen is thoroughly removed. Based on the report that Si surface gets oxidized as water and oxygen coexist, it is encouraged to remove dissolved oxygen (one of the two affecting factors), to suppress native oxide growth. For H2-UPW, dissolved gases including oxygen are removed, and furthermore reducing hydrogen gas is dissolved. H2-UPW, therefore, is more effective in suppressing native oxide growth than UPW from which dissolved oxygen is removed. Acceleration of hydrogen termination [2,3]: H2-UPW cleaning is also proven to improve ratio of
hydrogen termination on bare Si wafer surface. Figure 3.44 shows FTIR spectrum to represent hydrogen termination, comparing the following two cases: . .
FPM (0.5% HF þ 0.5% H2O2) [21] ! UPW rinsing FPM ! H2-UPW cleaning ! spin-drying combined with purge with nitrogen gas containing H radicals
Clearly the degree of hydrogen termination is higher in the latter case. The test results demonstrate that H2-UPW cleaning is also effective as a final rinsing step in the precleaning of gate oxidation process as bare Si surface is exposed at this stage. i. Summary This section reported a gas-dissolving method; namely, H2-UPW, describing its extremely high particle removal efficiency and the mechanism. Here we summarize the important points. 1. It is proven that room-temperature cleaning by means of H2-UPW with trace NH3 injected features higher particle removal efficiency than APM cleaning when it is combined with MS irradiation.
0.04 FPM cleaning followed by H2-UPW rinse and H∗ radical dry
Absorbance
0.03 after FPM cleaning followed by UPW rinse (FPM; HF: 0.5%, H2O2: 0.2%)
0.02
0.01
0.00 2200
2180
2160
2140
2120
2100
Wave number
2080
2060
2040
2020
(cm−1)
FIGURE 3.44 Increase of hydrogen termination by H2-UPW þ MS rinse and hydrogen radical drying.
High-Performance Wet Cleaning Technology
101
2. Surplus H† radicals seem to be generated by combining dissolved hydrogen and MS irradiation. A surplus radical is defined as a radical which has limited number of its counterpart radicals to react with in solution. A model has been established that surplus radical is a major factor to accelerate lift-off of particles from wafer surface. Particle removal efficiency of O2-UPW combined with MS irradiation which intrinsically contains a large amount of surplus OH radicals is deteriorated when H2 molecules of the gas phase are injected. This fact indirectly verifies the model. 3. H2-UPW is found to be also effective in suppressing native oxide growth on bare Si surface and in accelerating hydrogen termination. Hydrogen dissolved in water is completely stable. It is possible, therefore, to produce H2-UPW just like UPW in large volume and deliver it to a number of cleaning equipments through piping system. 3. Total Room-Temperature 4-Step Cleaning Process [2 –4] Previous sections reported O3-UPW and H2-UPW. Each technology by itself is expected to have a remarkable contribution to device manufacturing process. Combined in an appropriate manner, however, these technologies will enable us to design a novel total cleaning process which will outperform the conventional RCA cleaning. This section reports a total room-temperature cleaning process. Figure 3.45 shows a flow chart of the four-step cleaning process based on previously described new technology. In the first step, O3-UPW cleaning removes organic impurities from wafer surface, enhances wafer wettability, and removes Cu. Even if the wafer is contaminated with Ag which is hard to be removed in FPM cleaning, O3-UPW cleaning is capable of removing Ag easily. A clean chemical oxide is also formed during this process step. In the second step, a combination of H2-UPW with 1 ppm NH3 spiked and MS irradiation effectively removes particles while keeping the surface cleanliness. Precisely speaking, this step uses chemicals, but no rinsing step is required to follow especially in the case of spin-type cleaning. This is because the amount of chemicals is extremely marginal. In the third step, FPM cleaning is performed to completely remove any residual metallic impurities as well as chemical oxide. The fourth step is the final rinsing step, and it uses H2-UPW þ MS again to suppress native oxide growth and enhance hydrogen termination. This final step also features high particle removal efficiency. Its particle removal efficiency is lower than that of the second step, but the conventional cleaning process does not have any final rinsing step featuring such a function. 4. Purity of Gas-Dissolved Functional Water [22] Minimal requirement for chemicals and UPW used in wet-cleaning process is sufficiently high purity so as not to contaminate the wafer surface. Purity of chemicals and of UPW are raised to
↓ ↓
O3-UPW (O3:5 ppm)
Organic, Noble Metal
H2-UPW (H2:1.2~1.6 ppm, pH9.3)
Particle
FPM
Metal, Chemical Oxide
H2-UPW Rinse
Hydrogen Termination
↓
FIGURE 3.45 4-Step cleaning process conducting at room temperature.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
102
TABLE 3.3 Purity of UPW-Based Functional Water: Data of Trace Metal Analysis
Sample
UPW H2-UPW O3-UPW
Concentration of Dissolved H2 or O3 (ppm)
— 1.2 2.0
ORP (mV)
250 2600 1000
Ti
Cr
Ni
,1 ,1 ,1
,1 ,1 ,1
,1 ,1 ,1
Concentration (ppt) Cu Zn Fe ,1 ,1 ,1
,1 ,1 ,1
,1 ,1 ,1
Mg
Al
,1 ,1 ,1
,1 ,1 ,1
meet rigorous requirements. Gas-dissolved functional water, which replaces chemicals and UPW, is also expected to feature as high a purity as chemicals and UPW. A system for the production of functional water by the gas-dissolution method can be composed only of high-purity materials which are used in UPW and chemical delivery lines. Purity of source water, therefore, is not to be deteriorated in the production system. Table 3.3 shows results of trace metal analysis, comparing H2-UPW, O3-UPW, and source water (UPW). Metal concentration is less than 1 ppt (ng/l) in every case, which demonstrates outstanding purity of these functional waters. They can be used in mass production line without any concern about introduction of unwanted contamination.
B. TOTAL ROOM-TEMPERATURE 5-STEP CLEANING PROCESS [23] Sometimes a cleaning recipe using only neutral or acidic solutions is required. In this section, another total cleaning process without any alkaline solution is reported. 1. Design of 5-Step Cleaning Process There are two well-known important indicators about wet cleaning: 1. To remove particles, it is essential to satisfy the two requirements in parallel: to prepare conditions to prevent particles from re-adhering to wafer surface and to lift off particles from wafer surface. 2. To remove metallic impurities, it is important to etch oxide with embedded metals and to keep the redox potential high enough to prevent metallic impurities from re-adhering to the bare Si surface. FPM is a solution that etches Si surface and has a very high redox potential. Figure 3.46 shows Si surface etching with FPM as a function of time, and it also shows effects of surfactant addition. The initial etching rate is 0.3 nm/min at 258C. When a surfactant is injected, the etching rate is lowered to less than one tenth. Etching rate of surfactant-added FPM (FPMS) is not much enough to lift off particles. Therefore, assistance of some physical power is required to remove particles. It is speculated that particles as well as oxides and metals must be removed simultaneously if MS radiation is applied to the FPMS solution. The experiment demonstrates that this speculation is correct. Figure 3.47 shows particle removal efficiency of various solutions. The Si wafer was intentionally contaminated with 3000 – 4000 particles of PSL with size of 0.3 mm or more. DHF, FPM, FPMS, and FPM þ MS are not found to be effective in removing particles. Particle removal efficiency of high-temperature APM cleaning is exceeded at room temperature only when the MS irradiation is applied to FPMS (FPMS þ MS) at room temperature.
High-Performance Wet Cleaning Technology
Etching Depth (nm)
2,000
103
P(100) Sol.: 0.1%HF/10%H2O2/H2O Surfactant:nonionic Temp.: 25°C Megasonic: off
1,500
Surfactant Conc. 0 ppm 50 ppm
1,000 100 ppm
Etching Rate 0.3 nm/min
200 ppm
500
0
0
10 20 30 40 50 60 70 80 90 100 110 120 Etching time (h)
FIGURE 3.46 Si surface etching depth with FPM as a function of time and effect of surfactant addition.
The APM cleaning process uses a large amount of ammonia which is extremely volatile even at room temperature as well as at elevated temperature. Ammonia is evaporated in large volume in conjunction with water evaporation, which makes it impossible to control the chemical composition accurately in this process. Figure 3.48 shows microroughness of n-type Cz wafers before and after cleaning by FPMS þ MS. It indicates that FPMS þ MS does not damage Si surface. Figure 3.49(a) shows the newly proposed Si surface cleaning process in which every step is performed at room temperature using neutral or acidic solutions only. First step: O3-UPW for removal of organic impurities and noble metals (Cu and Ag) Second step: FPMS þ MS for removal of particles, metallic impurities and Si oxide layer Third step: O3-UPW for removal of residual surfactant on the surface Fourth step: DHF or FPM for removal of Si oxide layer Fifth step: UPW for final rinse
Particle Number (pcs/4 inch wafer)
Figure 3.49(b) shows the conventional RCA cleaning process. Chemicals used in the new process are just HF and H2O2 when chemical concentration is maintained at less than 1%. By 10,000 Particle Diameter 8,000 6,000
0.3–0.5 µm 0.5–1.0 µm >1.0 µm
DHF : 0.5%(HF) FPM : 0.5%(HF) + 10% (H2O2) FPMS : FPM + surfactant APM : (NH4OH:H2O2:UPW)=(0.05:1:5) MS : Megasonic (950 KHz)
4,000 2,000 0
Initial FPMS + MS APM
DHF
FPM FPM + MS FPMS
Sample Condition
FIGURE 3.47 Particle removal efficiency of several kinds of HF based solution (effect of FPMS þ MS scientifically developed based on mechanism of contaminants adhesion and removal).
104
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Cz N(100) Cleaning : 10 min at R.T. FPMS : 0.5%HF/10%H2O2/H2O + Surfactant(50 ppm) MS : Megasonic (950 kHz)
before cleaning Rms = 0.12 nm
after cleaning Rms = 0.11 nm
FIGURE 3.48 AFM image of Si surface before and after cleaning by FPMS þ MS after O3-UPW cleaning.
keeping the humidity of the chemical baths higher than 80%, evaporation of UPW can be suppressed to a very low level, and consequently chemical composition can be controlled to an extremely accurate level. Accurate control of chemical composition and temperature enables us to realize the wet process exhibits extremely high accuracy and perfect reproducibility. In particular, the second step, FPMS þ MS (0.5% HF þ 0.5% H2O2 þ 50 ppm surfactant þ MS irradiation), is an extremely versatile technology designed scientifically on the basis of cleaning mechanisms to remove particulate and metallic impurities. This 5-step cleaning has been confirmed to exhibit same excellent contamination removal capability by evaluating the gate oxide integrity having a thickness of 12 nm, as shown in Figure 3.50, where the current density is plotted as a function of the voltage for the conventional RCA cleaning and the 5-step cleaning. 2. Cleaning by HF 1 O3-UPW (FOM) [4,24] As described above, FPM is a very useful cleaning solution having the ability both for oxidation of Si surface and etching of the Si oxide layer. In this respect, adoption of O3 instead of H2O2 in HFbased solution was examined.
FIGURE 3.49 5-Step cleaning process conducting at room temperature compared with conventional RCA cleaning.
High-Performance Wet Cleaning Technology
105
FIGURE 3.50 Current density– voltage characteristic of Al/n-Si diodes. Comparison between conventional RCA cleaning and new 5-step cleaning.
Figure 3.51 shows Cu contamination removal efficiency by FPM and FOM. O3 in water shows a very strong oxidation power, however the concentration of dissolved ozone can not be raised up to percent order. Normally, it is used less than 100 ppm (0.01%). On the other hand, concentration of H2O2 in FPM solution is 0.1% or more. Figure 3.52 shows a key reaction of Cu removal in FOM solution with 5 ppm dissolved O3 as a function of HF concentration. At less than 200 ppm of HF concentration in FOM, the main reaction on contaminated Cu particle surface is the the formation of copper oxide or copper hydroxide. At HF concentration higher than 600 ppm, the amount of electron tunneling from silicon substrate suppresses the dissolution reaction of Cu particle. The optimum HF concentration of FOM seems to be in the range of 200 –600 ppm. However, FOM cleaning cannot remove the copper contaminant to as low a detection limit as compared with FPM cleaning with high concentration oxidant. It is clear that O3 of ppm order cannot be used instead of percent order of H2O2 in FPM solution even though O3 has higher ORP value than H2O2.
Cu concentration (atoms/cm2)
1015
as-contamination : 2 x 1014 atoms/cm2
1014 1013 1012 1011 1010 FPM FOM
109 1
10
100
1000
Cleaning time (sec)
FIGURE 3.51 Comparison of Cu contaminants removal efficiency between FPM and FOM as a function of cleaning time.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
106
FIGURE 3.52 Affect of HF concentration in FOM for Cu removal.
3. Prevention of Decomposition of Surfactant [25] When a surfactant which consists of some kind of organic carbon was used in FPMS solution, there was a problem due to decomposition of the surfactant. Figure 3.53 shows that dependence of MS irradiation time on particle removal efficiency using surfactant added UPW. The result represents that particle removal efficiency is decreased when gas species such as O2 and air is dissolved in cleaning solution. When gas species such as Ar, He, and H2 is dissolved, however, it was found that a high particle removal efficiency has been maintained. Finally, particle removal efficiency using H2 added FPMS maintained for 10 h. Surfactant contributes having same polarity of zeta potential between wafer surface and particles. Particle removal efficiency falls off when surfactant is decomposed by reaction with free †OH radicals in MS-irradiated solution. Free †OH radicals are generated much in MS-irradiated UPW-dissolving gases such as O2 and air, but they are not generated in in all the gases used in gas dissolution except for oxygen. The lifetime of FPMS solution can be prolonged by using dissolved gas species such as Ar, He, and H2, conclusively.
Ar, He, H2 and deaerated
100 90 Removal rate (%)
80
Air
70 60
CZ-P type 5 inch Si wafer Particle = alumina Surfactant = 50 ppm (Nonion) MS = 1 MHz, 2.0 W/cm2 Cleaning Time = 10 min Particle Size > 0.3 µm
50 40 30 20
O2
10 0
0
100
200 300 400 MS irradiation time (min)
500
600
FIGURE 3.53 Dependence of MS irradiation time on particle removal efficiency using surfactant added UPW. Effect of H2, Ar, or He gas dissolving.
High-Performance Wet Cleaning Technology
107
Device level : 1G/4G DRAM
26 steps
9 steps
BEOL (26) 55% Si3N4
FEOL(9) 28% 33%
Si3N4
7% Silicon
Metal
Silicon
SiO2
SiO2 28%
55% 44%
FIGURE 3.54 Occurrence of materials in wet process during fabrication of DRAM.
C. STRATEGY IN CLEANING PROCESS
IN
BEOL [26,27]
In the wet process, cleaning before gate oxidation is the most important. On the other hand, cleaning time in back end of line (BEOL) is more than that in the front end of line. Figure 3.54 shows the occurrence of materials during fabrication of 1 or 4 G DRAM. It indicates the most often exposed surface is SiO2 and the second is the metal. It is, therefore, mandatory to clean simultaneously the metals as well as oxides. Figure 3.55 shows particle removal efficiency from Si oxide (TEOS) surface by surfactantadded DHF (DHFS). The solution can etch the SiO2 surface and prevent particle re-adhesion on the surface. It is recognized that DHFS having more than 600 ppm HF can remove particles on Si oxide well even without MS. Figure 3.56 shows etching rate of DHF þ H2O2 solution for various films as a function of H2O2 concentration. It indicates that etching rate of various metallic films can be controlled by H2O2 concentration. Figure 3.57 shows the influence of surfactant addition in FPM on the etch rate of various films. Two solutions, namely FPM and FPMS were used to study the etch rate of films. It has been clearly found that the etch rates of various metals as well as oxide films do not strongly depend on adding
Residual particles > 0.2 micron
2000 as-contaminated > 2000 LPDs on 6 inches wafer Cleaning and rinse time : 5 min 1500 Al2O3 SiO2
Thickness
1000
Si3N4
500
0 0
200 400 600 800 1000 1200 0 HF in dHFS (ppm)
20
40
60
80
100
Etched thickness (Å)
FIGURE 3.55 Particle removal with HF concentration on TEOS in surfactant added DHF (DHFS).
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
108
105
Etch rate (Å/min)
104
Aluminium (AI) Titanium (Ti) Copper (Cu)
Cobalt (Co) Tungsten (W) Platinum (Pt)
103 102 101 100 10-1 1
10 100 H2O2 concentration (ppm)
1000
10000
FIGURE 3.56 Film etch rate with H2O2 concentration in DHF þ H2O2 (FPM).
the surfactant to the solution by which a meaningful influence is obtained on silicon surfaces such as crystalline-, poly-, and a-Si films. FPMS only without MS does not have particle removal efficiency on silicon surface, as silicon etch rate is drastically decreased by adding the surfactant. In contrast, in the situation in which both oxide and metal layers have to be cleaned at the same time, FPMS or surfactant-added DHF (DHFS) without MS are good candidates. In fact, as shown in Figure 3.57, both oxides and metals have nearly the same etch rate irrespective of surfactant addition into FPM or DHF, whereas a surfactant can establish the same polarity of zeta potential between particles and substrate. Therefore, HF-based solutions with etch capability of substrate and same zeta potential polarity between particles and substrate by surfactant can have excellent particle removal efficiency on metals and the oxide-exposed surface. It is suggested that FPMS having various H2O2 concentrations can be applied to oxides and metal films. It seems to be available for damageless cleaning process in BEOL.
FIGURE 3.57 Etch rate versus surfactant effect in FPM solution.
High-Performance Wet Cleaning Technology
109
REFERENCES 1. H. Morita, K. Kawada, S. Okano, T. Nita, and T. Ohmi, Development of an advanced wet cleaning equipment with megasonic enhancing system, in: Proceedings of the Fifth International Symposium on Semiconduct Manufacturing (ISSM’96), Tokyo, pp. 337 – 340, 1996. 2. H. Morita, J. Ida, T. Ii, and T. Ohmi, Advanced UCT cleaning process based on specific gases dissolved ultrapure water, in: Proceedings of the Eighth International Symposium on Semiconductor Manufacturing (ISSM’99), Santa Clara, CA, pp. 453 – 456, 1999. 3. H. Morita, T. Ii, J. Ida, and T. Ohmi, Total room temperature wet cleaning process based on specific gas dissolved ultrapure water. Electrochem. Soc. Proceed., vol. 99 – 36, 45 – 50, 2000. 4. T. Ohmi, Advances in the use of UPW in wafer cleaning, in: Proceedings of 2000 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 1 – 13, 2000. 5. T. Ohmi, T. Isagawa, T. Imaoka, and I. Sugiyama, Ozone decomposition in ultrapure water and continuous ozone sterilization for a semiconductor ultrapure water system, J. Electrochem. Soc., 139, 3336– 3345, 1992. 6. T. Isagawa, M. Kogure, T. Imaoka, and T. Ohmi, Ozone added ultrapure water application for ULSI advanced processing, in: Chemical Proceedings of 1992 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 224– 247, 1992. 7. T. Isagawa, T. Imaoka, M. Kogure, H. Shimada, and T. Ohmi, Ozone application to wet cleaning processes for ultra clean surface preparation, in: 1992 Proceedings of the 38th Annual Technical Meeting, Institute of Environmental Sciences, Vol. 1, Nashville, pp. 466 – 474, 1992. 8. H. Morita, J. S. Kim, and T. Ohmi, Cleaning of noble metals on silicon wafer surface by ozonized ultra pure water, in: Proceedings of 1996 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, pp. 215– 229, 1996. 9. J. S. Kim, H. Morita, J. D. Joo, and T. Ohmi, The role of metal induced oxidation for copper deposition on silicon surface, J. Electrochem. Soc., 144, 3275–3283, 1997. 10. J. D. Joo, J. S. Kim, H. Morita, and T. Ohmi, Comparison of cleaning efficiency of noble metals on Si surface between O3-UPW and SPM., Cleaning Technology in Semiconductor Device Manufacturing, PV97-35, The Electrochemical Society, pp. 280 – 288, 1998. 11. J. S. Kim, H. Morita, G. M. Choi, and T. Ohmi, Cleaning efficiency of various chemical solution for noble metals such as Cu, Ag, and Au on Si wafer surfaces. J. Electrochem. Soc., 146, 4281– 4289, 1999. 12. H. Morinaga, M. Suyama, and T. Ohmi, Mechanism of metallic particle growth and metal induced pitting (MIP) on Si wafer surface in wet chemical processing, J. Electrochem. Soc., 141, 2834– 2841, 1994. 13. H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke, and T. Ohmi, A model for the electrochemical deposition and removal of metallic impurities on Si surfaces, IEICE Trans. Electron., E79-C, 343– 362, 1996. 14. I. Yokoi, G. M. Choi, and T. Ohmi, Effect of pH values in ozonized ultrapure water on cleaning efficiency, Electrochemical Society Proceedings, vol. 2001-26, 69 – 76, 2001. 15. M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, Pergamon Press, 1966. 16. H. Morita, New Si Substance Cleaning Technology using Functional Water, Ph.D. Disseration, Tohoku University, Sendai, Japan, 2000. 17. H. Morita, J. Ida, T. Mizuniwa, and T. Ohmi, Hydrogenated ultrapure water production system for future wet cleaning process, in: Abstract of Fourth International Symposium on ultra clean processing of silicon surfaces (UCPSS’98), Ostende, pp. 3,4, 1998. 18. H. Morita, J. Ida, T. Mizuniwa, and T. Ohmi, Hydrogenated ultrapure water production system for future wet cleaning process, in: Proceedings of UCPSS’98, pp. 7 – 10, 1998. 19. H. Morita, J. Ida, O. Ota, K. Tsukamoto, and T. Ohmi, Particle removal mechanism of hydrogenated ultrapure water with megasonic irradiation, in: Fifth International Symposium on Ultra Clean Processing of Silicon Surfaces (UCPSS 2000), Abstract Book, Ostende, pp.160 – 161, 2000. 20. H. Morita, J. Ida, O. Ota, K. Tsukamoto, and T. Ohmi, Particle removal mechanism of hydrogenated ultrapure water with megasonic irradiation, Solid State Phenomena, 76 – 77, 245 – 250, 2001. 21. T. Shimono and M. Tsuji, in: The Electrochemical Society Meetings Abstract, 91-1, Washington, DC, pp. 278, 1991.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
110
22. H. Morita, J. Ida, T. Mizumiwa, N. Yabumoto, S. Kushire, and T. Ohmi, Dissolved gas controlled ultrapure water production system for wet cleaning process, in: Proceedings of the Seventh International Symposim on Semiconductor Manufacturing (ISSM’98), Tokyo, pp. 428 – 431, 1998. 23. T. Ohmi, Total room temperature wet cleaning for Si substrate surface, J. Electrochem. Soc., 143, 2957– 2964, 1996. 24. G. M. Choi, I. Yokoi, and T. Ohmi. The role of oxidant in HF-based solution for noble metal removal from substrate, Solid State Phenomena, vol. 76 – 77, 267 – 270, 2001. 25. H. Kanetaka, T. Kujime, H. Yazaki, T. Kezuka, and T. Ohmi, Influence of the dissolved gas in cleaning solution on Si wafer cleaning efficiency, in: Proceedings of UCPSS’98, pp. 43 –48, 1998. 26. G.M. Choi, F. Pipia, and T. Ohmi, Strategy in cleaning processes for future materials, in: Extended Abstracts of the 2000 International Conference on Solid State Devices and Materials, Sendai, pp.174 – 175, 2000. 27. F. Pipia, G. M. Choi, and T. Ohmi, Metal wet cleaning with no corrosion: a novel approach, Solid State Phenomena, 76– 77, 35– 38, 2001.
VII. SURFACTANT AND CHELATING AGENT TECHNOLOGIES FOR INNOVATING SEMICONDUCTOR CLEANING HITOSHI MORINAGA
A. CHALLENGES
IN
CLEANING TECHNOLOGY
TO
ADDRESS HIGHER-DENSITY ULSI DEVICE
Density of ULSI device keeps increasing without showing any sign of leveling off. Feature size of ULSI device is going down below 100 nm, which was considered next to impossible a decade ago. Cleaning process is required to achieve: (i) ultraclean surface, (ii) without any side effects, (iii) within a short period of time, (iv) with high reproducibility, and (v) at low cost. The requirements get increasingly demanding year by year as the device density goes up and device price goes down. At the same time, challenges to be overcome get diversified more than ever before along with rapid diversification of device materials, manufacturing processes and production systems (e.g., small-volume
FIGURE 3.58 Technology roadmap of semiconductor and challenges of the cleaning technology.
High-Performance Wet Cleaning Technology
111
production of multiple types of products) (Figure 3.58). Introduction of metallic materials also brings about a new challenge. Specifically when metallic materials are dissolved even in trace amounts when they redeposit into Si or dielectric film, a serious problem of cross-contamination will take place. Along with ULSI device shrink, finer particles also adversely affects the device yield. Also, higherdensity ULSI device is more susceptible to damages induced by mechanical action such as megasonic irradiation as well as chemical action of cleaning solutions. This tendency is more outstanding in ULSI devices beyond the 100 nm node [1,2]. Cleaning technology in the 100 nm node and beyond is required to effectively remove ultrafine particle without damaging fragile patterns on ULSI device. Wafer fab engaged in small-volume production of multiple types of products is expected to manufacture small-lot products within the shortest possible time. To meet this requirement, the conventional batch-type cleaning in which a 50-wafer batch is cleaned in an hour must be replaced with a single-wafer cleaning system which cleans each wafer within 2 min [1,3].
B. SOLUTIONS
TO THE
CHALLENGES FROM
THE
VIEWPOINT OF CLEANING MECHANISM [1]
Functions essential for cleaning trace contaminants are to get the contaminant desorbed (Function 1), to prevent redeposition (Function 2), and to etch the underlying film (when contaminant is buried into underlying film or when contaminant forms a strong chemical bond with underlying film) (Function 3). For example, APM (NH4OH/H2O2/H2O) cleaning, which is widely used for particle removal, is equipped with the three functions. Function 1 is achieved by megasonic irradiation or other mechanical forces. Function 2 is achieved by repulsive force between particle and substrate surface induced by zeta potential which is controlled by alkali solution. Function 3 is achieved as alkali solution etches Si and SiO2 film. To an ULSI device of ultrahigh density, the conventional methods of intensive megasonic irradiation for contaminant desorption and etching of the underlying film by as much as several nanometers can be no longer applied. It is because (i) pattern collapses because of megasonic irradiation and (ii) dimensional fluctuation because of etching will become critical. In order to remove the contaminant while minimizing the contributions of Functions 1 and 3, it is important to enhance Function 2 (prevention of contaminant redeposition). In other words, it is critical to develop a redeposition prevention technology that ensures to capture a trace contaminant lifted off from the surface even slightly (Figure 3.59).
FIGURE 3.59 Significance to prevent contaminant redeposition in advanced semiconductor device cleaning.
112
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
In the conventional RCA cleaning [4], a means of redeposition prevention is very much limited: control of pH and redox potential to prevent redeposition of metallic contaminants and control of zeta potential through pH adjustment to prevent particle redeposition. Meanwhile trace additives such as a chelating agent and a surfactant are highly effective in preventing redeposition of contaminant as discussed in Chapter 2. This section will present advanced cleaning technologies using these additives to address the needs of the 100 nm node and beyond.
C. PREVENTING METAL CROSS CONTAMINATION [1] The primary drawback of the RCA-based wet-cleaning methods [4] is their side effect, that is, redeposition of the contaminant from the cleaning on solution to the wafer surface. APM cleaning is extremely effective in removing particle and organic contaminants, but it is hardly effective in removing metallic contaminants. In other words, APM cleaning allows metallic contaminant to adsorb to wafer surface in large amounts when the metallic impurity is allowed at lease in trace amounts in solution. In alkali solution, a metallic contaminant forms the hydroxide which adsorbs to substrate surface by dehydration reaction with Si – OH group there at [5,6]. This problem can be overcome by using the chelating agent technology presented in Chapter 2. The chelating agent captures a metallic contaminant in APM solution and prevents its redeposition onto substrate surface. It is necessary to select chelating agents which are capable of reliably capturing various metals such as Fe, Zn, and Cu that are likely to re-adsorb to surface in APM solution. The chelating agent technology becomes increasingly important in addressing metal crosscontamination induced by various new metallic materials being introduced to FEOL (Figure 3.58). Co and Hf brought into APM solution from Co salicide gate and high-k dielectric films are larger in amount than the conventional trace metal contaminants, exceeding the ppb order [7]. Figure 3.60 demonstrates that the chelating agent is sufficiently effective in preventing these metals from re-adsorbing onto the Si surface.
D. ACCELERATING FINE PARTICLE REMOVAL WITHOUT DEVICE PATTERN DAMAGE [1] Needless to say, ultrafine particle (100 nm or less) must be removed in the 100 nm node and beyond. Generally, the smaller the particle size, the more difficult to remove and more likely to deposit it [8,9]. This is because even if both the particle and the substrate surface have negative
FIGURE 3.60 Effectiveness of adding chelating agent in preventing Co and Hf adsorption from APM. Comparison of the conventional APM (NH4OH/H2O2/H2O) and chelate-added APM (MC1/H2O2/H2O) (MC1 chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370 – 377, 2004. With permission.)
INTERACTION ENERGIES (×10−18 J)
High-Performance Wet Cleaning Technology
113
1 µm
2
0.5 µm
1
0.1 µm 0 10 nm −1 −2 0
0.5
1
1.5
2
2.5
DISTANCE BETWEEN PARTICLE AND SURFACE (nm)
FIGURE 3.61 Potential energy changes when the particles with various diameters deposit onto the substrate surfaces (in the liquid medium. when the both particles and substrates are negatively charged). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. With permission.).
zeta potential in alkali solution, repulsive force generated between the two gets smaller as the particle size becomes smaller (Figure 3.61). To remove such ultrafine particles, high-temperature APM cleaning or APM cleaning combined with megasonic irradiation is effective. The conventional 10-min APM cleaning at high temperature (808C), however, cannot be applied to the device of the 100 nm node and beyond because it etches substrate surface by several nanometers and increase the surface microroughness. Also, cleaning combined with strong mechanical force such as megasonic irradiation may lead to pattern collapse. This is another problem in growing evidence in the 100 nm node and beyond. Surfactant changes zeta potential of the surface and improves wettability. As discussed in Chapter 2, the surfactant technology is effective in preventing particle from redepositing on the substrate surface. It is being proven that the surfactant technology facilitates removal of ultrafine particle even at low temperature and with mild megasonic irradiation. Figure 3.62 shows
FIGURE 3.62 The effect of temperature in removing ultrafine particles. The surfactant-added APM can effectively remove the particles even with lower temperature or lower Si and Oxide loss (MC1-SP surfactant and chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. With permission.)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
114
FIGURE 3.63 The number of remaining particles as a function of etch amount when the contaminated Si surface is cleaned with APM. Oxide loss needed to remove 60 nm particles could be reduced by adding surfactant.
temperature dependence of SiO2 particle removal efficiency in APM cleaning combined with megasonic irradiation, comparing the conventional APM cleaning and APM cleaning with surfactant spiked. When a surfactant is injected, the ultrafine particles can be removed even at low temperature and with marginal etching. Figure 3.63 shows residual particle count as a function of film loss after the cleaning presented in Figure 3.62. With the conventional APM cleaning, surface etching of about 3 nm is essential to reduce the particle count below the background. When the surfactant is spiked to APM solution, surface etching of 0.3 nm is found sufficient. Also megasonic power can be reduced when surfactant is spiked to APM solution while maintaining particle removal efficiency (Figure 3.64 and Figure 3.65). In other words, surfactant addition facilitates particle removal even with lower mechanical force. It is considered because the surfactant ensures to prevent redeposition of particle which is lifted off from substrate surface slightly by mild mechanical driving force. Unlike chelating agent, a surfactant works as it gets adsorbed onto the surface of a substrate or particles. This means that a thorough rinsing is essential, otherwise the residual surfactant may cause some problems. It is important to adopt surfactants without side effects such as residue, metallic contamination, and excessive bubbling. Dip cleaning, 70°C, 10 min Particle: Si3N4Particles (0.05–3 µm) Surfactant-added APM: MC1-SP/H2O2/H2O = 1/2/40 APM: NH4OH/H2O2/H2O = 1/2/40 Megasonic: KAIJO Hi Megasonic 600, 950 kHz, 136 × 163 mm2
Particle Remaining (pcls/wafer)@>0.06 µm
6000 Initial Counts
5000 4000 3000 APM
2000 1000
Surfactant-added APM
0 0
0.2
0.4 Megasonic Power
0.6 (W/cm2)
0.8 (200 W/plate)
FIGURE 3.64 Dependence of megasonic power in removing ultrafine particles with 708C–10 min–APM (oxide loss: 1.3 nm) (MC1-SP surfactant and chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370–377, 2004. With permission.)
High-Performance Wet Cleaning Technology
115
Particle Remaining (pcls/wafer)@>0.06 µm
6000 Initial Counts
5000 4000 3000 APM
2000 1000
Surfactant-added APM 0
0
0.2
0.4
0.6
Megasonic Power (W/cm2)
0.8 (200 W/plate)
FIGURE 3.65 Dependence of megasonic power in removing ultrafine particles with 508C –10 min – APM (oxide loss: 0.4 nm). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370 – 377, 2004. With permission.)
E. SINGLE-WAFER PROCESSING [1] Single-wafer processing has significant advantages compared with traditional batch systems to dramatically reduce the production cycle time. Single-wafer processing speeds up processing time and therefore time to market. It makes possible to timely supply of various devices to meet diversified customer needs. However, long processing time in RCA cleaning has been an obstacle for single-wafer cleaning to be commercially adopted. In the conventional batch-type RCA cleaning, several cleaning steps each of which is followed by rinsing and drying steps taking more than 1 h in total. To introduce the single-wafer processing method, it is necessary to reduce the total cleaning time to 2 min or less. In the 100 nm node and beyond, it is also essential for the cleaning process to meet the above-mentioned three additional requirements: remove ultrafine particle, lower film loss, and prevent pattern damage. To overcome these challenges, it is necessary to make a full use of the chelating agent technology and the surfactant technology. Surfactant and chelating agent which do not remain on substrate surface after cleaning step must be selected. First of all, low-power megasonic irradiation is applied to get particle on substrate surface slightly lifted off. Then, the lifted-off particle is captured by surfactant in a way not to allow it to redeposit to surface. In the meantime, chelating agent added to cleaning solution captures metallic contaminant [1,10]. Basically, the number of cleaning steps can be reduced down to a single step with the surfactant- or the chelate-added (modified) APM. To remove specific contaminants such as Al included in the oxide film, a two-step process of DHFmodified APM (,0.3 nm etch) can be used. Even in this case, however, DHF cleaning can be limited to its minimum as the modified APM is capable of removing most contaminants. In other words, the DHF cleaning in the advanced wet-cleaning process is not expected to etch the substrate surface by as much as 1 nm as it does in the conventional cleaning process [10]. Introduction of these new technologies will enable cleaning process of 2 min or less to achieve ultraclean surface without excessive etching or pattern damage in the 100 nm node and beyond (Figure 3.66 and Figure 3.67).
F. CLEANING
OF
NEW MATERIAL SURFACE [1]
1. Challenges of New Material Surface Cleaning Along with increasing ULSI device shrink, various new materials are being introduced. What needs to be noted from the viewpoint of cleaning includes: (i) metallic materials (e.g., W, SiGe, high-k materials) are adopted for gate which is sensitive to contamination, (ii) Cu is adopted for interconnect though Cu is easy to be corroded and is regarded as the most problematic contaminant,
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
116
300-600
Particle Remaining (pcls / Wafer) @ >0.1µm
400 300
300-700 Initial Conventional APM Surfactant/Chelateadded APM
200-400
200 100 <10 0
Si3N4
<10
<10 SiO2
Si
Spin cleaning, with Megasonic, 50°C, 30 sec Particle Size: 0.1-0.2 µm Conventional APM: NH4OH/H2O2/H2O = 1/2/80 Surfactant/Chelate-added APM: AM1/H2O2/H2O = 1/2/80
FIGURE 3.66 Effectiveness of AM1 (surfactant or chelate-added cleaning solution) in removing particles with 30-sec single-wafer cleaning (Single-wafer cleaning tool: Applied Materials Oasis CleanTM). (AM1, surfactant and chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. With permission.)
and (iii) hydrophobic low-k materials are adopted for ILD. To clean surface made of these materials, it is important to prevent corrosion of these materials, to remove contaminants and prevent their redeposition, and to improve wettability of hydrophobic surface.
2. Preventing both Material Corrosion and Contaminant Redeposition It is difficult to prevent both material corrosion and contaminant redeposition while maintaining high contaminant removal efficiency. Potential – pH diagram presented in Chapter 2 indicates conditions required for metallic material to be dissolved. To prevent material corrosion, cleaning solutions with strong acidity and strong alkalinity must be avoided as much as possible. Mild cleaning solutions, however, are not capable of removing the contaminant effectively as they allow redeposition. In order to selectively remove Cu contaminant on ILD surface without dissolving Cu interconnect, the chelating agent technology is effective. As shown in Figure 3.60, chelating Spin Cleaning, 30 sec (for each cleaning except DHF) Conventional RCA: APM (NH4OH/H2O2/H2O) → HPM (HCl/H2O2/H2O) Advanced Cleaning: DHF(7 sec, 0.3 nm etch) → AM1/H2O2/H2O Metal Remaining (atoms/cm2)
1014
Initial Conventional RCA Advanced Cleaning
1013 1012 1011 1010 109
BG Fe
Al
Cu
Zn
Ni
Initial contamination was deposited from APM with metals Background is from DI Water rinse step in these experiments
FIGURE 3.67 Metal removal by single-wafer cleaning with modified (surfactant and chelate-added) APM (AM1, surfactant and chelate-added NH4OH; Mitsubishi Chemical Corporation, Japan). (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. With permission.)
High-Performance Wet Cleaning Technology
117
Etch Rate (nm/min)
Over 5 nm/min 2.0 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0
APM: NH4OH/H2O2/H2O = 1/2/40 NH4OH or AMI: Chemical/H2O = 1/100 (pH = 11) Th-Oxide p-Si TEOS W
APM 1/2/40
NH4OH 1/100
AM1 1/100
AM1 1/100 50°C
40°C
FIGURE 3.68 Etch rate of various materials with alkali cleaning. The surfactant-added alkali solution (AM1) can reduce both W and Si loss during the cleaning. (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370 – 377, 2004. With permission.)
agent is also effective in preventing contaminant derived from metallic materials used in gate from redepositing to Si surface. 3. Use of Surfactant as Corrosion Inhibiter With a surface is composed of multiple materials, control of pH and redox potential alone may not be effective in preventing the corrosion of the materials. In this case, the surfactant may be used as a corrosion inhibitor. Cleaning of metal gate device is taken as an example. In the case of poly-metal gate device with W (tungsten) electrode, APM cleaning cannot be used to clean its surface. It is because H2O2 in APM solution dissolves W. When ammonium hydroxide without H2O2 is used, Si surface gets dissolved. When APM solution with a surfactant is used, the surfactant inhibits Si etching by ammonium hydroxide (Figure 3.68), and facilitates particle removal (Figure 3.69). Some surfactants can work as corrosion inhibitor as they get adsorbed on Si surface. Single wafer spin cleaning, 50°C, 1 min, with megasonic (Spot, 20W, Kaijo) Particle: Si3N4, 0.05-3 µm APM: NH4OH/H2O2/H2O = 1/2/40 AM1: AM1/H2O = 1/100 (pH = 11)
Particle Remaining (pcls / Wafer)@> 0.1 µm
6000-8000 7000 6000 5000 4000 3000 2000 1000 0
Initial
APM 1/2/40
AM1 1/100
FIGURE 3.69 Particle removal performance of the surfactant-added alkali solution (AM1) for W poly metal gate application. (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370 – 377, 2004. With permission.)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
118
FIGURE 3.70 Effect of improving wettability of the hydrophobic low-k surfaces in removing particles. (a) Contact angle of SiOC surfaces with the surfactant-added chemistry. (b) Effectiveness of the surfactantadded chemistry in removing Cu/low-k slurry from hydrophobic low-k (SiOC) surfaces. (From H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. With permission.)
4. Improvement of Wettability of Hydrophobic Surface The surfactant is effective in cleaning hydrophobic surface and it considerably a improves wettability and enhances the cleaning action (Figure 3.70). By using a surfactant and a chelating agent, it is possible to remove large amount of contaminants derived from Cu and CMP slurry on hydrophobic SiOC-type low-k material surfaces without damaging the substrate.
G. SUMMARY In cleaning process for devices of the 100 nm node and beyond, ultraclean surface must be achieved without using strong chemical or mechanical forces. To overcome this challenge, it is essential to innovate a technology to prevent contaminant redeposition. A key to solution to this problem is to make the best use of trace additives such as a chelating agent and a surfactant.
REFERENCES 1. H. Morinaga, A. Itou, H. Mochizuki, and M. Ikemoto, Additive technologies for sub 100 nm device cleaning. in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 370– 377, 2004. 2. G. Vereecke, F. Holsteyns, J. Veltens, M. Lux, S. Arnauts, K. Kenis, R. Vos, P. W. Mertens, and M.M. Heyns, Evaluation of megasonic cleaning systems for particle removal efficiency and
High-Performance Wet Cleaning Technology
3. 4. 5.
6.
7.
8. 9. 10.
119
damaging. in: Electrochemical Society Proceedings Series, PV.2003-26, Pennington, NJ, pp. 145– 152, 2004. S. Verhaverbeke and J. K. Truman, New short cycle wet cleaning concept for a 300 mm fabrication line, in: Electrochemical Society Proceedings Series, PV.2001-26, Pennington, NJ, pp. 31 – 35, 2002. W. Kern and D. A. Puotinen, Cleaning solution based on hydrogen peroxide for use in silicon semiconductor technology. RCA Rev, 31, 187 – 205, 1970. H. Morinaga, T. Hoshino, Y. Omura, M. Kitagawa, and M. Aoki, Mechanism of metal hydroxide adsorption onto Si surfaces in alkali solutions and its prevention, in: Electrochemical Society Proceedings Series, PV.99-36, Pennington, NJ, pp. 585 – 592, 2000. H. Morinaga, M. Aoki, T. Maeda, M. Fujisue, H. Tanaka, and M. Toyoda, Advanced alkali cleaning solution for simplification of semiconductor cleaning process, in: Materials Research Society Symposium Proceedings, Vol. 477, pp. 35 – 46, 1997. T. Wake, K. Fukui, M. Hamada, K. Inoue, and N. Aoto, Cross-contamination control of new materials with minimum number of cleaning apparatuses, in: Proceedings of ISSM’99, UCS/ IEEE/SEMI, p. 153, 1999. K. Uemura, Jpn Soc. Appl. Phys., 66, 1304, 1997. H. Morinaga, T. Futatsuki, and T. Ohmi, Behavior of ultra fine metallic particles (10 nm) on silicon wafer surface, J. Electrochem. Soc., 142, 966 – 970, 1995. C. Beaudry, H. Morinaga, and S. Verhaverbeke, A study of metallic contamination removal and addition using modified SC-1 solutions, in: Electrochemical Society Proceedings Series, PV 2001-26, Pennington, NJ, p. 118– 125, 2002.
VIII. FTIR-ATR CALIBRATION TECHNIQUE SENRI OJIMA
A. FTIR-ATR Auger electron spectroscopy (AES) and x-ray photoelectron spectroscopy (XPS), among others, were primarily used to detect organic compounds on Si wafer surface. In order to address increasing demands to quantify the organic compounds and analyze their chemical structure, however, multiple reflection method (or ATR method) of FT-IR has been recently adopted by a number of researchers. Major advantages of FT-IR are short measurement time and simple measurement procedure. This section will introduce Fourier Transform Infrared Spectroscopy Attenuated Total Reflection (FTIR-ATR) that uses a prism made from germanium. The measurement principles of FT-IR are to be presented first. Infrared light emitted from a source, after being measured with interferometer, turns to interference wave and passes through a sample. The sample absorbs some infrared light, and residual infrared light goes to a detector. Waveform detected by the detector is processed with Fourier transformation to obtain spectrum distribution. The ATR method is a kind of reflection method. This method is effective in measuring an organic film which is hard to be measured with transmission method. The ATR method often uses multiple reflections to amplify the signal intensity. In some cases, it uses silicon as a prism material and a sample. In our study, however, a prism made from “germanium crystal” was adopted as we intended to measure trace organic compounds on Si substrate surface (Figure 3.71).
B. PREPARATION OF REFERENCE BLOCK WITH ORGANIC FILM ADSORBED TO OBTAIN CALIBRATION CURVE FOR QUANTIFICATION OF ORGANIC COMPOUND ADSORPTION ONTO SI SURFACE: LANGMUIR –BLODGETT TECHNIQUE This section describes how to come up with a reference block to prepare a calibration curve for an organic compound adsorption. To prepare the reference block, an n-type Cz (1,0,0) Si wafer
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
120
Germanium Crystal
Silicon Wafer mm
m m
50
20
OUT
Infrared Rays IN Silicon Wafer = Sample, Germanium Crystal = Prism
OUT
Both sides Polished Silicon Wafer
Infrared Rays IN Silicon Wafer = Sample = Prism
FIGURE 3.71 FTIR-ATR method.
with resistivity of 8– 12 V cm was used. The Si wafer was cleaned first with ozonated UPW to remove adsorbed organic compounds, and then with 0.5% HF aqueous solution to remove SiO2 film. During the cleaning and drying steps, the Si wafer was kept being spun. To make its surface hydrophilic, the Si wafer was immersed in ozonated UPW to let high-purity SiO2 film with thickness of about 1 nm grow. Then, the Si wafer was cut into a piece of appropriate size to be measured with FTIR-ATR (20 mm 50 mm). Langmuir –Blodgett (LB) technique [1,2] was used to form organic film intentionally on the hydrophilic surface. First CdCl2 solution of 4 1024 M (0.274032 g CdCl2 in 3 l UPW) and KHCO3 solution of 1 1025 M (0.0134755 g KHCO3 in 3 l ultrapure water) were mixed and agitated to prepare the base solution. Then, stearylamine (C18H37NH2) of 5 1023 M (0.0134755 g C18H37NH2 in 10 ml chloroform) was prepared, which was to be adsorbed onto the Si sample pieces. Figure 3.72 shows structural formula of C18H37NH2. Stearylamine of 30 ml was
CH3(CH2)17NH2 Stearylamine(n-Octadecylamine) LB film
H
H C
SiO2
H H
Si
H H H H H H
1/2 layers
1/4 layers
1/8 layers
H H H H H H H H
C C C C C C C C C C C C
H H H H H H H H H H H H
C
H
H H C H
N H
FIGURE 3.72 Sample using the LB film for the FTIR-ATR calibration.
Hydrophobic
H H
C
C
FT-IR-ATR germanium prism
H C
H
Hydrophilic
High-Performance Wet Cleaning Technology
121
Wafer
FIGURE 3.73 Adsorption method of the stearylamine (LB technique).
dropped onto the base solution to form a monolayer film of stearylamine on its surface. While the stearylamine monolayer film was gradually compressed up to when its surface pressure reached 25 mN/m as shown in Figure 3.73, two Si sample pieces were descended at a rate of 10 mm/ min to the solution to get the stearylamine monolayer film adsorbed to their surface (Two of 20 mm 50 mm Si pieces are necessary to be measured with Ge-ATR method.) As shown in Figure 3.72, area ratio between stearylamine and two Si pieces of 20 mm 50 mm was varied to one-half, one-fourth, and one-eighth.
C. PREPARATION OF CALIBRATION CURVE Figure 3.74 shows spectrum of absorbed stearylamine monolayer film measured FTIR-ATR. Three measurements were taken: with no polarization, with S-polarization (1808), and with P-polarization (908). Among the three cases, peak intensity of IR absorbance is found the biggest when P-polarization is applied. IR absorbance is found very weak when S-polarization is applied. High IR absorbance is detected around wave number of 2800 –3000/cm, which represents C22H stretching vibration of 22CH222 combination. IR Absorbance of 22CH222 combination increases as area ratio of stearylamine monolayer film against two Si pieces (50 mm 20 mm each) gets higher. By using FTIR spectrum detecting the C22H stretching vibration of 22CH222 combination, calibration curve was prepared for the organic compound adsorption. It is possible to obtain the amount of stearylamine monolayer film adsorbed onto Si pieces (¼22CH222 amount). The calibration curve, can be therefore, prepared by using IR absorbance and 22CH222 amount. How to calculate the amount of stearylamine monolayer film adsorbed onto Si piece is briefly described below. What is essential in this calculation is the area of one stearylamine molecule. Figure 3.75 shows the molecule area and surface pressure measured when stearylamine monolayer film is compressed. As compression is completed when surface pressure reaches 25 mN/m, area of
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
122
-(CH2)n-
40
Absorbance [×10−3]
1/2 layers 30
1/4 layers
-(CH2)n1/2 layers
1/8 layers
20 1/4 layers
-(CH2)n-
1/8 layers
10
1/2 layers 1/4 layers 1/8 layers
0 3000
2900
2800 3000
No polarized light
2900
2800 3000 2900
P polarized light
Wavenumbers
S polarized light
[cm−1]
FIGURE 3.74 FTIR-ATR spectrum of the stearylamine.
˚ 2. one stearylamine molecule is found to be 16 A 2
Area=molecule ¼ 16 A
(3:1)
When Si piece (50 mm 20 mm) is entirely covered with stearylamine monolayer film, its total area is 1023 m2. 2
5:0 108 2:0 108 ¼ 1:0 1017 A
(3:2)
˚ 2 can be calculated from Equation (3.1) as: Total number of molecules in 1.0 1017 A
Surface Pressure [mN/m]
1:0 1017 =16 ¼ 6:25 1015 molecules
20
10
0 0
10 20 Area/Molecule [Å2]
30
FIGURE 3.75 Relationship between surface pressure and LB film molecular area.
(3:3)
High-Performance Wet Cleaning Technology
123
Therefore, the number of stearylamine molecules in area of 1 cm2 is 6:25 1014 molecules=cm2
(3:4)
As one stearylamine molecule contains 1722CH222, the number of 22CH222 per unit area of stearylamine monolayer film can be expressed as: 6:25 1014 molecules=cm2 17 ¼ 1:06 1016
(3:5)
In monolayer stearylamine film, CH2 of 1.06 1016/cm2 exists. The amount of adsorbed stearylamine is converted to the amount of CH2, based on Equation (3.5), for three areas of stearylamine monolayer film adsorption: one-half, one-fourth, and one-eighth of the 50 mm 20 mm 2. Then, calibration curve was prepared to show the relationship between IR absorbance and the CH2 amount (Figure 3.76). Figure 3.76 shows a good linearity between absorbance and the CH2 amount. It is possible to use FTIR-ATR to calculate amount of CH2.
D. ADSORPTION
OF
ORGANIC COMPOUND
TO
SI SURFACE
IN
CLEANROOM AMBIENCE
Figure 3.77 shows FT-IR spectrum of organic compounds adsorbed on Si surface exposed to cleanroom air. The longer the Si surface is exposed to cleanroom air, the more the organic compounds get adsorbed on to the surface. Prior to this experiment, the Si wafer was cleaned first with ozonated UPW and then with 0.5% aqueous solution to etch SiO2 film and to terminate Si wafer surface with hydrogen [3]. Figure 3.77 indicates that the organic compounds equivalent to 22CH222 of 1015 molecules/ 2 cm get adsorbed onto the Si surface merely by leaving it exposed to the cleanroom air. This means the cleanroom ambience contains some organic compounds though it is free from particles. Ohkawa et al. [4] studied what types of organic compounds were adsorbed to Si wafer surface when it was exposed to cleanroom ambience. They used wafer-heating desorption gaschromatograph –mass-spectroscopy (WTD-GC/MS) in the experiment [4]. Dibutyl phthalate (DBP) and dioctyl phthalate (DOP) among others were detected on the surface. Figure 3.78 shows molecular formula of DBP and DOP. They are organic compounds evaporated from additives contained in resin materials used in cleanroom. Noting the fact that molecular weight of organic compounds greatly affects the degree of contamination on Si wafer surface, Wakayama [5] 4.0E+16 A: Absorbance C: –CH2–
–CH2– [molecules/cm2]
3.5E+16
Cs = (9E+17)A + 6E + 14 S polarized light
3.0E+16 2.5E+16 C = (5E+17)A - 2E + 14 No polarized light
2.0E+16 1.5E+16 1.0E+16
Cp = (3E+17)A- 2E + 14
5.0E+15 P polarized light 0.0E+00 0
0.01
0.02
0.03
0.04
Absorbance [-]
FIGURE 3.76 Relationship between absorbance and CH2 quantity: calibration curve.
0.05
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
124
CH2 quantity [×105 molecules/cm2]
–(CH2)n–
24 hours
1.5
12 hours
6 hours 1.0
3 hours 1hour
0.5
0 hour
0 3000
2800
2900 Wavenumbers [cm−1]
FIGURE 3.77 Organic carbon adsorption from the clean room atmosphere.
studied molecular weight of organic compounds adsorbed on Si wafer surface, their adsorption tendency and their impacts on electrical characteristics of MOS device. To find out adsorption –desorption behavior of organic compounds with high molecular weight on Si wafer surface, Wakayama et al. analyzed temperature dependence of the amount of adsorbed organic compounds by using APIMS based on which, they came up with activation energy of these organic compounds. Focusing on chained saturated hydrocarbon (CnH2nþ2), they also studied the relationship between their molecular weight and activation energy, which represents the strength of adsorption at adsorption – desorption equilibrium. Figure 3.79 shows the activation energy on hydrogen-terminated surface of CnH2nþ2 as a function of molecular weight. The activation energy is found to linearly increase against molecular weight. This indicates that organic compounds are adsorbed in parallel with linear chains on Si wafer surface. Wakayama et al. also revealed that chained CnH2nþ2 molecules with molecular weight higher than 400 do not get adsorbed onto Si wafer surface. For DOP with molecular weight of 391 and trioctyl trimellitate (TOTM) with molecular weight of 546, Wakayama et al. studied their impacts on MOS device as well. It was found in this study that DOP with lower molecular weight affected electrical characteristics of MOS device [6].
E. SUMMARY A number of studies have revealed the behavior of organic compound adsorption on Si wafer surface. Advanced analytical techniques are essential for these studies. FTIR-ATR is one of O O
CnH2n+1
O
CnH2n+1
O
Dibutyl Phthalate (DBP) n = 4
Dioctyl Phthalate (DOP) n = 8
FIGURE 3.78 Adsorption organic substance of the wafer surface in the clean room atmosphere.
High-Performance Wet Cleaning Technology
125
0.8
C20H42
Activation energy [eV]
0.7 y = 0.0035x − 0.2639 R2 = 0.9973
0.6 0.5
C14H30
0.4 0.3
C10H22
0.2 0.1 0
C6H14 0
50
100
150
200
250
300
Molecular weight (g/mol)
FIGURE 3.79 Molecular weight and activation energy of the chained hydrocarbon (CnH2nþ2).
them. The advantages of FTIR-ATR include short measurement time and relatively simple measurement procedure. This technique is considered to be useful in controlling organic compound contamination on Si wafer surface. Calibration curves of FTIR-ATR measurements have been established using in which stearylamine LB monolayer film is used as a reference organic compound.
REFERENCES 1. K. B. Blodgett, J. Am. Chem. Soc., 56, 1007, 1985. 2. K. B. Blodgett and I. Langmuir, Phys. Rev., 51, 964, 1937. 3. N. Yonekawa, S. Yasui, and T. Ohmi, in: Second International Symposium on Ultra Clean Processing of Silicon Surface (UCPSS’94), Bruges, Belgium, 1994. 4. T. Ohkawa, O. Nakamura, and T. Ohmi, Silicon Material and Device, pp. 9 – 14, 1998. 5. Y. Wakayama, The Effect of the Clean Room Material on the Wafer Surface Contamination, Ph.D. Dissertation, Tohoku University, Sendai, Japan. 6. Y. Wakayama, T. Ohkawa, O. Nakamura, S. Kobayashi, S. Sugawa, H. Aharoni, and T. Ohmi, in: Extended Abstracts of the 2000 International Conference on Solid State Devices and Materials, Sendai, pp. 550– 551, 2000.
IX. BALANCED PUSH PULL NOZZLE KENICHI MITSUMORI
A. INTRODUCTION As a cleaning technique in the semiconductor manufacture, the RCA cleaning has been used for a long time. Recently, however, reduction in the quantity of consumed of chemicals and purified water becomes an important issue. At the same time, the required cleaning level of substrate surface of ULSI devices is increasing. Therefore, extensive research and development on the reduction of chemical concentration in a cleaning fluid and the improvement in contaminant removal has been made [1,2]. Recently, the cleaning method that adds a small amount of chemicals into ultrapurified water at room temperature and superimposes megasonic was proposed. Compared with traditional cleaning techniques, the quantity of chemicals used has been reduced drastically and the cleaning ability has been improved significantly [3 – 5].
126
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Meanwhile, in the TFT/LCD manufacturing, upsizing of the substrates and high-definition of the devices have advanced rapidly, and 1500 mm 1800 mm substrates have been already used. There are more issues in the TFT/LCD manufacturing, including the delivery of substrates. The high-definition of direct vision displays over 200 ppi have been commercialized and 300 ppi products will be commercialized in the near future. According to previous research, as a lift-off method of particle removal, the ultrasonic cleaning can be used widely in the TFT/LCD manufacturing process because it has high cleaning ability and avoids damage to the display, and does not use high concentration chemicals. However, the cleaning method becomes a sheet feed method with upsizing of substrates, and ultrasonic cleaning equipment is enlarged consistently, and the expected quantity of cleaning fluid used increases drastically. Increase of the quantity of water used has already been a very big challenge. Moreover, further reduction of production tact time is required and the achievement of 30 sec/m is strongly desired. In order to use effectively the ultrasonic cleaning that is used in the cleaning process of the TFT/LCD and semiconductor manufacturing process, it is required to solve the problems such as improvement of cleaning power and the drastic reduction of the quantity of cleaning fluid used. The size of substrates becomes increasingly large in the TFT/LCD area. But in the ultrasonic cleaning, especially in the megasonic cleaning that is widely used in the TFT/LCD and semiconductor manufacturing process, the techniques to lengthen the most popular shower type nozzle corresponding to the size of substrates are not yet in sight. At present, shower type nozzles are used widely for cleaning of large size substrates. These nozzles are manufactured by four or five companies in Japan and they use about 1 l/min of cleaning fluid per 1 cm. Assuming that a 1 m nozzle is made, it uses 100 l/min of cleaning fluid. A cleaning equipment usually uses two nozzles at upper side and one nozzle at lower side, therefore 300 l/min of cleaning fluid is required per one cleaning device. For the 1500 mm 1800 mm substrate, twofold to fourfold cleaning fluid is expected to be required according to the area ratio of the substrate. Therefore, solving the problems, including improvement of cleaning power, reduction of the number of necessary nozzles from three to one, reduction of consumed cleaning fluid per one nozzle, and upsizing of nozzles in accordance with enlargement of substrates, is urgently needed to continue in the use of the ultrasonic cleaning. This section describes the new ultrasonic cleaning system to get the ultraclean substrate surface with using a minimum quantity of functional water that is useful as a cleaning fluid. On the basis of this new concept, prototype nozzles are made, and the concept is verified using 6 in. glass substrates, 5 in. Si wafers, 550 mm 650 mm substrates, and the verification results are provided. This section also describes the consideration about the cleaning power and possible solutions of introduction problems of cleaning equipment, and at the last, the ideal situation of the cleaning equipment combined with functional water.
B. ULTRASONIC CLEANING 1. Necessity of Ultrasonic Cleaning Previously, the cleaning power of the brush cleaning and the high-pressure jet cleaning with high-pressure water as well as the ultrasonic cleaning have been evaluated as cleaning methods of TFT/LCD. Each cleaning method has a high cleaning power, but the brush cleaning is a contact type cleaning, and may cause a scratch on soft metals and also generates the display irregularity of the TFT/LCD device that has not been made adequately clear. The processes that can be used for brush cleaning are limited. Especially, after the multilayer films are formed or processed, usable range is limited. Because the level of glass substrate cleaning is improved and reliability of cases used for transmission is improved, the use of brush cleaning becomes a substrate cleaning after receiving. The high-pressure jet cleaning that uses extremely little cleaning fluid seems to be inappropriate because of the possibility of display irregularity. And its cleaning principle that
High-Performance Wet Cleaning Technology
127
sends out a cleaning fluid at a pinpoint and at high speed is not appropriate for cleaning a large substrate for a short time. As a cleaning method that is similar to high pressure jet, the two fluid jet method (the cleaning method that accelerates cleaning fluid by gas, makes the cleaning fluid collide with contaminants which are on the substrate and eliminates contaminants.) is proposed [6], but the necessity of a large quantity of gas and cleaning fluid is expected to become a big problem. Under such conditions, because the ultrasonic cleaning is the noncontact cleaning and its frequency is controllable, it may be available for the TFT/LCD displays that have a much smaller aspect ratio than ULSI even in the next generation. The challenge is to develop the technique to improve cleaning power and to drastically reduce the quantity of cleaning fluid used. 2. Fine Particle Elimination Mechanism of Ultrasonic Cleaning The elimination of particles consists of a two-step mechanism: (1) elimination of adhesive particles from substrates (lift off) and (2) prevention of reattachment of eliminated particles with substrates (usage of electric repulsion by controlling the hydrogen ion concentration (pH) in a solution to make equal of the zeta potential of eliminated particles and that of substrates). Ultrasonic waves are used for elimination of adhesive particles from substrates. Especially, in the manufacturing process of semiconductor and liquid crystal displays, the MHz band ultrasonic waves (megasonic) are used. a. Elimination Principle of Adhesive Particles from Substrates The cleaning principle by ultrasonic waves is generally assumed to be the following two actions. One is the effect of cavitation that is generated by oscillation, which repeats the phenomenon of creating and vanishing cavities during cleaning. When the cavity is on the way out, very large pressure, about 1000 atm, will occur. If there is air in this cavity, several to 200 atm may occur. Therefore, the mechanical power that is generated when this cavity is destroyed very large. The high-speed flow of a cleaning fluid caused by destroying this cavity seems to peel the fine particles adhere to cleaning targets. As another effect, the physical and chemical reaction acceleration function is considered. It is assumed to be an effect of the acceleration function of physical and chemical reaction between a cleaning fluids and fine particles by micro oscillation, oscillation acceleration, beating, and degassing of cleaning fluid by ultrasonic waves [7]. It is believed that the cavitation also causes elimination of particles from substrates at the megasonic cleaning. b. Possibility of Occurrence of Megasonic and Cavitation According to the report from Mason and Lorimer, in megasonic (MHz band ultrasonic waves), the ultrasonic wave strength that generates cavitation will rise drastically with increase of ultrasonic wave frequency, and cavitation effect will decrease. At 100 kHz or more, the cavitation threshold will grow exponentially. At several MHz or more, cavitation will occur only under the very strong acoustic field and will not occur at the acoustic field that is used for usual cleaning [8]. However, there is another report about the radical occurrence, water quality and physical change of ultra pure water, and cleaning results when applying megasonic to UPW [9]. And there is also the report about the occurrence of †OH radical, H† radical, and generation of various ions [3]. The occurrence of †OH radical and H† radical and the generation of various ions require breaking the bond of H2O, N2, and H2 molecules. An energy of 458.9 kJ/mol is required for breaking O22H(H2O) bond, 941.69 kJ/mol for N22N(N2) bond, and 432.1 kJ/mol for H22H(H2) bond. It is said that the generation of these radicals and various ions are caused by the crush phenomenon by high temperature and high pressure of cavitation [7]. Summarizing these reports, cavitation is considered to also occur at the MHz-band ultrasonic waves.
128
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Megasonic wave nozzle
Sound pressure meter
Water
Plotter R9833
Spectrum analyzer ADVANTEST R3261•3361
FIGURE 3.80 The experiment system.
c. Noise Generation Research at the Time of Megasonic Application As a cavitation occurrence verification, noise at the time of the megasonic application was measured. The ultrasonic equipment used for validation was a point-type nozzle model with a frequency of 950 kHz and an output of 30 W. Three types of cleaning waters: nitrogen water, hydrogen water, and deaerated water, were used for evaluation. Figure 3.80 shows the experiment system. Water that comes out of a point-type nozzle is received at the acoustic pressure sensor (Honda Electronics HUS-5), and analyzed by the spectral analyzer (R3261; Advantest). Figure 3.81, Figure 3.82, Figure 3.83, and Figure 3.84 show the result of analysis for a point-type nozzle with a frequency of 950 kHz and an output of 30 W. Figure 3.81 is a spectrum for the application of the ultrasonic wave with frequency of 950 kHz and an output of 30 W to the deaerated water (dissolved nitrogen: 2 ppm). Figure 3.82 is a spectrum ultrasonic wave with a frequency of 950 kHz and an output of 30 W to the nitrogen water (dissolved nitrogen: 20 ppm). Figure 3.83 is a spectrum for the application of ultrasonic wave with a frequency of 950 kHz and an output of 26 W to the hydrogen water (dissolved hydrogen:
REF −10.0 dBm 10dB/ AVG A TIMES 50
ATT 10 dB
A_view B_blank
950 kHz 1.9 MHz 1.9 MHz 1.4 MHz
RBW 30 kHz VBW 10 kHz SWP 50 ms
3.4 MHz
3.4 MHz
475 kHz
CENTER 1.700 MHz
SPAN 3.40 MHz
Center 1.7 MHz Frequency (MHz)
FIGURE 3.81 The sound pressure spectrum.
High-Performance Wet Cleaning Technology REF −10.0 dBm 10 dB/ AVG A TIMES 50
129
ATT 10 dB
A_view B_blank
950 kHz 1.9 MHz 1. 9 MHz 1.4 MHz
RBW 30 kHz VBW 10 kHz SWP 50 ms
3.4 MHz
3.4 MHz
475 kHz
CENTER 1.700 MHz
SPAN 3.40 MHz
Center 1.7 MHz Frequency (MHz)
FIGURE 3.82 The sound pressure spectrum.
1.4 ppm). Figure 3.84 shows the wave crest of noise at each frequency when changing the input power of ultrasonic waves. The noise tends to increase with the increase of input power of ultrasonic waves and the volume of dissolved gas. The behavior of deaerated water is apparently different from that of hydrogen and nitrogen waters the noise level of deaerated water is low. The noise level of hydrogen water and saturated nitrogen water are almost same. However, Figure 3.84 shows that hydrogen water tends to have more noise volume in the low frequency band, and initial rise of noise against the input power tends faster in any frequency band. From these results, hydrogen water is estimated to have more cavitation effect. In each spectrum in Figure 3.81, Figure 3.82, and Figure 3.83, higher harmonics and subharmonics (1/2 wavelength, 3/2 wavelength . . .) are REF −10.0 dBm 10 dB/ AVG A TIMES 50
ATT 10 dB
A_view B_blank
950 kHz 1.9 MHz 1.9 MHz 1.4 MHz
RBW 30 kHz VBW 10 kHz SWP 50 ms
2.4 MHz
3.4 MHz
475 kHz
CENTER 1.700 MHz
SPAN 3.40 MHz
Center 1.7 MHz Frequency (MHz)
FIGURE 3.83 The sound pressure spectrum.
Output Spectrum of sound pressure meter (dBm)
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
−60
Output Spectrum of sound pressure meter (dBm)
130
−60
N2 20 ppm 0.68 MHz N2 10 ppm 0.68 MHz N2 2 ppm 0.68 MHz H2 1.4 ppm 0.68 MHz
−65 −70 −75 −80 −85 −90 0
5
10 15 20 Megasonic Power (W)
25
30
10 15 20 25 Megasonic Power (W)
30
N2 20 ppm 0.68 MHz N2 10 ppm 0.68 MHz N2 2 ppm 0.68 MHz H2 1.4 ppm 0.68 MHz
−65 −70 −75 −80 −85 −90 0
5
FIGURE 3.84 Difference of sound pressure spectrum in each gas dissolved water. Comparison of noises in each frequency.
observed. As for higher harmonics and subharmonics, there are reports by Esche, Kikuchi, and Shimizu, Miyoshi and Okushima, Negishi and Kumamoto, that under the condition of cavitation occurrence, the harmonic tone of frequency that stimulates acoustic wave is also included in subharmonics [10]. From the noise generation research at the megasonic application, observable higher harmonics and subharmonics indicate that the cavitation phenomenon apparently occur. d. Estimation of Elimination Mechanism of Adhesive Particles from Substrate The study results of radical occurrence when applying megasonic to ultrapurified water, the research results of noise occurrence of megasonic, no radical occurrence when applying megasonic to deaerated water [11], very low noise level of deaerated water, and ineffectiveness of cleaning with deaerated water to eliminate particles indicate that the main mechanism of elimination of adhesive particles from substrates (lift-off) is related to the cavitation phenomenon, and elimination of fine particles is caused by high-speed flow at the time of cavitation occurrence. This power disassociates fine particles from substrates and shifts them several dozen nanometers, and then
High-Performance Wet Cleaning Technology
1
131
Outside the cleaning system
3
Cavitations generation High-speed water current
2
Lift off: 10 nm order Particle
Particle Particle Substrate ζ Potential control : Prevention of re-adhesion
FIGURE 3.85 Particle removal model.
electric homopolarity by the zeta potential (z) of a cleaning fluid works to prevent reattachment of eliminated particles, and fine particles can be eliminated. Figure 3.85 shows this elimination model.
C. BPP NOZZLE 1. Concept of BPP Nozzle Currently, the problem of shower type megasonic nozzles that are used in TFT/LCD manufacturing process is not only a large quantity of cleaning fluid used but also the mechanism to discharge a cleaning fluid from an opening with a width of about 1 mm and length of about 600 mm like a shower. Because the opening cannot be manufactured uniformly, the nozzle with length of 1 m cannot be manufactured by this method now. Moreover, cleaning by this nozzle is based on the mechanism that a large quantity of cleaning fluids washes away particle contaminants to the end of the substrate. With upsizing a substrate, washing away of contaminants evenly to the end of the substrate will become difficult. As a result, it seems difficult to achieve homogenous and highly clean surfaces of the substrates. Based on the decontamination mechanism of cleaning, new cleaning methods have been developed [12 –20]. Figure 3.86, Figure 3.87, and Figure 3.88 show the conceptual diagrams of nozzle BPP nozzle Liquid outlets
Liquid inlets Liquid outlets
Transducer
BPP nozzle
Liquid inlets
Transducer
C Pressure Power distribution
Cleaning solution Pressure balance at gas-liquid interface A
λ/4 λ/2
Amplitude distribution
3λ/4
B Substrate
State of supply and drain of cleaning solution
State of supersonic wave irradiation
A: Particle adhesion B: Lift off C: To the outside of cleaning solution
FIGURE 3.86 The schematic diagram of BPP nozzle.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
132
Cleaning solution
BPP nozzle
Ultrasonic transducer Liquid inlets
Liquid outlets 6 mm Substrate Pressure balance at gas-liqud interface
FIGURE 3.87 The schematic diagram of BPP nozzle (single type).
cleaning under this new concept. This nozzle is named as the Balanced Push Pull (BPP) nozzle. Figure 3.89 and Figure 3.90 show the traditional shower type nozzles. Such a comparison reveals several facts. The following is the overview of this BPP nozzle concept and its features. Feature (1). Elimination of fine particle consists of the following three steps: the first step is liftoff, the second step is prevention of reattachment of eliminated particles by the electric repulsion with the z control, and the third more important step is discharging contaminants immediately outside of the cleaning system. The first step uses ultrasonic waves, the second step uses functional water, and the third step corresponds to the new concept. In the traditional sheet-fed method, contaminants on the target object were discharged to outside of the cleaning system at the end of the substrate. The basis of this concept is to discharge contaminants to outside of the cleaning system as needed within the substrate rather than at the end of the substrate. The BPP nozzle cleaning method supplies a cleaning fluid continuously at one end of the nozzle and sucks up the cleaning fluid at the other end to eliminate the contaminants. This sucking up the cleaning fluid with the nozzle reduces the pressure of the part itself, and supplies a fresh cleaning fluid that corresponds to the sucked volume from the supply side end of the BPP nozzle. Because the supply and discharge flows are balanced with atmosphere pressure at the two flat surfaces (nozzle and substrate) and near the end of two flat surfaces (interface between fluid and air),
Upper nozzle Ultrasonic transducer
Cleaning solution
Liquid inlets Liquid outlets Pressure balance at gas–liquid interface 6 mm
Substrate 70~90 mm
Liquid outlets
Lower nozzle
FIGURE 3.88 The schematic diagram of BPP nozzle (dual type).
Substrate transportation roller Liquid inlets
High-Performance Wet Cleaning Technology
133
Ultrasonic transducer 950 kHz
75 mm
Liquid inlet
1 mm Liquid outlet
Substrate
~5 mm
~2000 mm Roller
FIGURE 3.89 The schematic diagram of conventional megasonic nozzle (cross-section).
leak from the end is minimal and elimination of contaminants is achieved continuously within the substrate rather than at the end of the substrate. The BPP nozzle realizes continuously cleaning fluid supply, cleaning, and cleaning fluid discharging within one cleaning nozzle width. Figure 3.86 shows this concept. In Figure 3.86, the adherent particles in the [A] condition will be lifted off by the application of ultrasonic waves to the [B] condition. After that, the contaminants are transferred to the exhaust without reattachment, and then discharged as shown in the [C]. Feature (2). The ultrasonic cleaning used in the first step only requires sufficient volume of cleaning fluid between an ultrasonic diaphragm and a substrate, and the liquid thickness should be about one wave length of an ultrasonic wave. As shown in the Figure 3.86, standing wave occurs and high sound pressure area is generated just above the substrate [21]. In the case of a 1 MHz ultrasonic wave, the wavelength in the ultrapurified water is about 1.5 mm. Therefore, it only requires the cleaning liquid with a liquid thickness of about 1.5 mm. Feature (3). In the case that a cleaning liquid is ultrapurified water, the maximum film thickness of the cleaning fluid that can balance with atmospheric pressure by its surface tension is about 7.5 mm. Figure 3.91 shows a simple experimental result. In this figure, purified water is supplied to two flat surfaces from above, and using the distance between two flat surfaces as a parameter, the condition of holding of purified water is examined.
FIGURE 3.90 The photographs of conventional megasonic nozzle.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
134
Cleaning solution inlet
Cleaning solution inlet
Gap D
Quartz A-type: 200 mm φ
Quartz B-type: 50 mm × 200 mm
Gap D
D = 1.5 mm D = 3.0 mm D = 4.5 mm D = 6.0 mm D = 7.5 mm D = 9.0 mm A-type A-type(teflon edge) B-type B-type(teflon edge) : Cleaning solutions can be maintained in D. : The cleaning solution can be maintained in D. A small amount of cleaning solution leaks from edge. : The cleaning solution cannot be maintained in D.
FIGURE 3.91 Evaluation of thickness of cleaning solution.
The thickness of a current glass substrate is about 0.7 mm. In the case that the liquid thickness is 7.5 mm as shown in Figure 3.91, about 3 mm of upper and bottom clearances can be reserved, and conveyance and cleaning are available. The glass substrate for TFT/LCD tends to become thin in the future. Except for TFT/LCD, there is the application for the cleaning of the glass with the board thickness of 50 mm. The value of 7.5 mm is practical enough. Feature (4). While balancing with atmospheric pressure, the mechanism of supplying and discharging of a cleaning fluid between an ultrasonic diaphragm and a substrate is as follows. To balance between the liquid pressure of a cleaning fluid and atmospheric pressure, it is required to control the supply and discharge fluid flow, and the distance between an ultrasonic diaphragm and a substrate should be set with a high degree of accuracy, at the horizontal level. If the cleaning fluid is supplied in this way, the cleaning fluid forms a liquid film by balancing with air at surface tension at the nozzle end. It is important to supply the cleaning fluid evenly in the direction of nozzle length while keeping balance with atmospheric pressure not to destroy this fluid film. Feature (5). The ideal shape of a cleaning nozzle in this concept is the structure sandwiched from above and beneath. In this case, a cleaning liquid film is formed beforehand, and the substrate is penetrated into it. The roller conveyer is applied for existing cleaning equipment. When applying to existing cleaning equipment, the target value of nozzle width is about 70 mm, less or equal intervals between rollers. The conveyance direction of substrates and the feeding direction of cleaning fluids are opposed because of the cleaning mechanism. The substrate conveying location is set in between an ultrasonic diaphragm and another diaphragm. Figure 3.88 shows its schematic view. Feature (6). In this method, different from the shower type nozzles, the quantity of cleaning fluids used can be drastically reduced because it is not necessary to supply a cleaning fluid from a narrow opening to a substrate by free-fall. The required quantity of a cleaning fluid to realize the above cleaning mechanism should only satisfy the conditions that surpasses the substrate conveying velocity and discharges for the provided cleaning fluid. If cleaning a 1 m substrate for 30 sec, the conveying velocity will be 33 mm/sec. If the provided cleaning fluid is assumed not to flow outside of the discharge pass and the cleaning fluid thickness is 6 mm, the linear velocity of the cleaning fluid should be 30 mm/sec or more per 1 cm nozzle. Therefore, the required cleaning fluid is 120 ml/min. A 1 m nozzle corresponds to 12 l/min. Therefore, compared with the traditional shower type nozzles, the quantity of a cleaning fluid used can be reduced by one or more orders of magnitude.
High-Performance Wet Cleaning Technology BPP nozzle
Drain side mass flow controller Pressure controller
135
Feed side mass flow controller Pressure controller
Substrate
6mm
Hydrogen water and ozone water generator
Lower side BPP nozzle Recycle
Drain
FIGURE 3.92 The schematic diagram of the cleaning system of new concept.
Feature (7). The cleaning nozzles of this concept can directly recover and reuse cleaning fluids after cleaning because of its mechanism that supplies and discharges cleaning fluids while balancing with atmospheric air. Feature (8). The cleaning nozzle of this concept does not generate mist. It means that the exhaust system for mist is not required. Figure 3.92 shows the overall schematic view of the new concept of ultrasonic cleaning system equipped with BPP nozzles. 2. Ultrasonic Oscillator Section a. Oscillator Materials To generate the MHz-band ultrasonic waves, the oscillator that is shaped in the square plate of electrostriction type lead zirconate titanate (PZT) and uses thickness oscillation. The electric acoustics conversion efficiency of PZT is 80% or more, and electricity input is 6 W/cm2 or less [22]. The NPM ceramic N-6 or N-61 manufactured by NEC-TOKIN can be used. b. Oscillator Shape To generate the MHz-band ultrasonic waves, the oscillator that is shaped in the square plate of electrostriction type PZT and uses thickness oscillation. However, to generate a 1 MHz ultrasonic wave, a PZT plate about 2 mm thick is used. The wide width and long length are desired as much as possible. Figure 3.93 shows the evaluation results of acoustic pressure using a 35-mm wide oscillator and a 20 mm wide oscillator. The input electricity for both oscillators is 5.2 W/cm2. The figure shows that in the high acoustic pressure area, broad oscillators are wider than the oscillator width ratio. Therefore, the 35-mm wide oscillator that is the maximum available oscillator is used for the cleaning evaluation. c. Enclosure Materials and Surface Processing One example of an enclosure is the box type structure as shown in the overview of cross-section of a BPP nozzle in Figure 3.86. This structure allows manufacturing of 1 m long nozzles easily from the aspects of processing and strength. Metallic materials are advisable for the enclosure materials from the aspects of acoustic impedance and mechanical strength, and stainless steel (SUS316L) can be used. Applying the complex electrolytic polishing process to the wetted surface generates the
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
136
Sound pressure (a.u.)
(b)
Sound pressure (a.u.)
(a)
Center of transducer -25
-20
-15
-10
-5
0
5
10
15
20
25
-15
Center of transducer -10
Measurement position (mm)
-5
0
5
10
15
Measurement position (mm)
FIGURE 3.93 Sound pressure distribution in transducer direction of width.
oscillating surface with very little dust and good cleaning results [23,24]. The inner component to which an ultrasonic oscillator of a shower type nozzle is bonded also uses stainless steel (SUS316L), and the same surface finishing is applied to the wetted part of the enclosure to which an oscillator is bonded. d. Board Thickness of Enclosures (Ultrasonic Radiant Boards) The board thickness is the function of the ultrasonic wavelength to be used as shown in the following formula. The following formula is assumed that the flat parallel-plate with thickness of b (density r1 and acoustic velocity vertical to the board c1) is in the unlimited medium (density r0 and acoustic velocity vertical to the board c0) and the acoustic wave vertically incidents. In this case, the permeability D is derived from the following formula. The wavelength is l1 using the oscillator with the frequency of 950 KHz, and using the stainless steel (SUS316L) as an enclosure, its board thickness will be 3 mm [25]. D¼
4 ½21 2pb r c1 r c0 2 2 2pb 4 cos2 sin þ 1 þ 0 l1 r0 c 0 r 1 c 1 l1
This formula shows complete transmission when b ¼ nl1/2 (n ¼ 0, 1, 2, . . .). The stainless steel with board thickness of 0.1 or 0.2 mm can be used as a radiant board of MHz-band ultrasonic waves. e. Oscillator and Enclosure (Radiant Board) Bonding For the bonding of a PZT oscillator and a stainless radiant board, the commercial epoxy resin for bonding oscillators is available, and it has a bonding life of 10,000 h or more based on the performance of the shower type nozzles. The adhesive line should be as thin and uniformal as possible, otherwise the loss will occur there. f. Enclosure (Radiant Board) Structure In this study, the enclosure width of the oscillator section of the BPP nozzle used in the cleaning evaluation is about 70 mm, but this width should be the sum of oscillator width and enclosure material thickness based on the cleaning mechanism. If this width is narrow, contaminants after cleaning will be discharged to outside of the system faster. To apply a BPP nozzle to the traditional cleaning equipment, it must be inserted between rollers of the roller conveyer, and the width should be 70 mm or less including the cleaning fluid supply or discharge section.
High-Performance Wet Cleaning Technology
137
Cross section of transducer portion in BPP nozzle L L = 15,10,7,4
Side wall of case
Transducer Bottom of case
Distance:L
Sound pressure (a.u.)
15
270
10
275
7
275
4
270
Width of transducer = 35 mm
FIGURE 3.94 Influence of the bottom width of case on sound pressure.
In addition, to effectively propagate acoustic waves to a cleaning fluid from an enclosure (radiant board) to which an oscillator is bonded, it is required to prevent the ultrasonic oscillation from taking to outside the field immediately under the oscillator through the enclosure. Four types of nozzles with the enclosure width of about 70, 60, 55, and 50 mm were manufactured and the acoustic pressure was evaluated. Figure 3.94 shows the results. The evaluation results showed that the enclosure with a width of about 50 mm did not induce the reduction of acoustic pressure and can be manufactured. 3. Oscillator The output power is generally 500 W – 1 kW per one device, and the mainstream is the automatic frequency tracking type, which is the circuit that has a small number of components and is strong against load change. This is because an ultrasonic oscillator has the resonance frequency f0, which has temperature characteristics that depends on shapes and materials, and the f0 increases gradually with the selfheating of oscillating elements and the increase of water temperature in a cleaning bath during use. The excitation at the fixed frequency occurs during resonance deviation, and the generated heat increases with increasing of power loss in oscillating elements, and ultrasonic output power P will decrease. Therefore, adopting the automatic frequency tracking-type oscillating circuit that depends on temperature and tracks the f0 changes by load change due to water level changes, the temperature dependent power loss is compensated and the output-constant operation is available. 4. Cleaning Fluid Supply/Discharge Section (Rectification Mechanism) The most important point for this BPP nozzle is that a cleaning fluid is distributed uniformly in the direction of the length of the nozzle, and supplied uniformly between an ultrasonic diaphragm and a substrate, and discharged uniformly. Furthermore, it is desirable to make one piping for cleaning fluid supply as much as possible from the view of minimizing production costs. Figure 3.95 and Figure 3.96 show the evaluation results of the cleaning fluid supply or discharge section structure of the BPP nozzle for the 6-in. substrate. Figure 3.95 shows the discharge uniformity of a cleaning
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
138
FIGURE 3.95 The schematic diagram of liquid control parts and the uniformity of cleaning solution.
fluid when 76 pieces of triangular tubules (1 mm wide, 0.5 mm high, 5 mm long) are made of Teflon materials. This result shows that only one piping for cleaning fluids can supply them almost uniformly. Figure 3.96 shows the result of using the porous alumina ceramic that is 157-mm long, 7-mm wide, and 7-mm thick. It turns out that this can supply a cleaning fluid BPP nozzle cross section
(a) Teflon: 7 mm
A
Teflon Liquid inlets
Liquid outlets
A Porous ceramic: 7 mm × 7 mm × 157.5 mm Ceramic particle size: 100~150 mm Liquid inlets Minute pore size: 50 mm Pored rate: 40%, Composition: AI2O3 A-A’ cross section
Stainless steel cover
Porous ceramic (Liquid outlets)
(b) Liquid mass (a.u.)
50
Flow rate 1.0 l/min
40
Flow rate 0.5 l/min Flow rate 0.2 l/min
30 20 10 0
1
2
3
4 5 Position
6
7
8
FIGURE 3.96 The schematic diagram of liquid control parts and the uniformity of cleaning solution.
High-Performance Wet Cleaning Technology
139
very uniformly. The pressure loss is 0.6 kgf/cm2 when 1 l/min ultrapurified water flows, which is in the adequately practical range. Most of the cleaning evaluation experiments in this study use the rectification mechanism of the structure is shown in Figure 3.95. a. Optimization of the Cleaning Fluid Supply/Discharge Section Supplying fluid uniformly over the length of a BPP nozzle from a few feed openings can be accomplished by applying modest resistance to the cleaning fluid outlet head in the rectification section. In the case of electric circuit indicated in Figure 3.97, the following condition must be met to obtain the outflow from the outlet head A and B: the resistance from the feed opening to the outlet head A is R, the resistance from the feed opening to the outlet head B is R þ r1 þ r2 þ . . . þ rn, and R r1, r2, . . . , rn. Where, n is smaller, the outflow of each point tends to be uniform. However it is not uniform in the microscopic view because the clearance between outlet heads becomes large. Contrarily n is very big and outlet heads are placed with very little clearances, a cleaning fluid seems to be fed uniformly in the microscopic view. However increase of R is required for uniform supply, which increases the pressure loss. Therefore, the balance among n, R, and r is important. Porous materials are considered to have very large n. And R is essentially large because the pore size is small. Therefore by decreasing r, cleaning fluid can be supplied uniformly. For the current plate that has the drilling tubule structure, n should be minimum to simplify the structure and facilitate the processing and cleaning of current plate. Balancing among n, R, and r, setting the number of feed openings of a 1 m BPP nozzle for the cleaning fluid feed or discharge section to two or less and the volume of cleaning fluid to 5 l/min, the simulation was made setting the target of variation of outflow from an outlet head to 10%. Table 3.4 shows the results. In the case of the current plate that has the drilling tube structure, a cleaning fluid can be supplied with a pressure loss of 0.4 kgf/cm2 and an outflow variation of 10% under the condition that the hole diameter f is 0.3 mm, depth is 10 mm, clearance between the holes is 5 mm pitch, the cross-section area of r part is 6 mm 12 mm. In the case of the porous ceramics with a pore size of about 50 mm, the porosity of about 40%, and the ceramic particle size of 100 –150 mm, a cleaning fluid can be supplied with a pressure loss of 0.15 kgf/cm2 and the fluid flow variation of 10% under the condition that the width is 5 mm, thickness is 2.5 mm, and the cross-section area of r part is 5 mm 8 mm. b. Fluid Flow Calculation Method for the Current Plate of the Drilling Tubule Structure i. Symbols
Pipe diameter, d (m); Pipe length, L (m); Pipe cross-section area, A (m2); Average flow velocity in pipes, u (m/s); Viscosity, h (Pa s); Density, r (kg/m3); Reynolds number, Re (– ); Friction coefficient, f (– ); Pressure, P (Pa).
r1
r2
rn-1
rn
Inlet R Outlet A
FIGURE 3.97 Passage resistance.
R
R
R Outlet B
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
140
TABLE 3.4 Flow Control System of Cleaning Solution Feed and Drain Length of Nozzle for One at Feed Entrance 125 mm
Length of Nozzle for a Inlet 250 mm 500 mm
1000 mm
Hole type
Passage section size (mm mm) Hole diameter (mm) Hole length (mm) Hole Pitch (mm) Inlet pressure (kgf/cm2)
5 12 0.7 10 5 0.01
6 12 0.4 10 5 0.12
8 12 0.3 10 5 0.4
8 12 0.3 10 8 1.2
Porous type
Passage section size (mm mm) Pore size (mm) Free volume (%) Width (porous material) (mm) Thickness (porous material) (mm) Inlet pressure (kgf/cm2)
54 100 40 5 1 0.06
55 50 40 5 1 0.06
58 50 40 5 2.5 0.15
5 12 50 40 5 3 0.2
ii. Calculation Method
1. Assume the inlet pressure of the entire system P0. 2. Divide the system into each tube, each branch point, the flow channel to the branch point, and calculate the following for each and determine P at each point. a. Assume u and calculate Re under the value, Re ¼ du r/h. b. Determine streamline flow or turbulent flow from Re, and calculate f by substituting the formula: streamline flow f ¼ 16/Re (theoretical formula) and turbulent flow f ¼ 0.0791 Re (21/4) (experimental formula). c. Calculate DP from f, DP ¼ 4f (ru 2/2)(L/d). d. Calculate the outlet pressure of the target pipe. 3. Change the assumed value of u for each tubule to hold the equation P ¼ 0 at the exit of the tube. 4. Change the assumed value of P0 so that the total fluid flow meets the set value (5 l/min). 5. Until the calculation converges, repeat (1) –(4). c. Fluid Flow Calculation Method for the Current Plate Made by Porous Ceramics i. Symbols
Pipe diameter, d (m); Pipe length, L (m); Pipe cross-section area, A (m2); Average flow velocity in pipes, u (m/s); Viscosity, h (Pa s); Density, r (kg/m3); Reynolds number, Re (– ); Friction coefficient, f (– ); Pressure, P (Pa); Porous material particle diameter, dp (m); Porous material porosity, 1 ( –); Porous materialflow channel length, l (m) (porous material thickness). ii. Assumptions
Assume the system as shown in Figure 3.98. Assume as follows: .
Piping runs through immediately above the porous materials and the pressure loss at the piping section is caused by lateral flow only.
High-Performance Wet Cleaning Technology
141
Pipe Liquid inlet Porous material P0 (Inlet pressure of liquid) Liquid outlets P=0 (Gage pressure)
FIGURE 3.98 Assumption of calculation in porous material system (cross-section).
. . .
The flow of porous materials is only in the vertical direction. Fluid flows in from one end of the piping, and the other end is sealed. At the outlet of porous materials, P ¼ 0.
iii. Calculation Method
1. Assume the inlet pressure of the entire system P0. 2. Divide the system into some very little areas, and calculate the following for each and determine P at each point. a. The outlet pressure at the neighbor area assumes to be the inlet pressure of the target area. b. Assume u and calculate Re under the value, Re ¼ du r/h. c. Determine streamline flow or turbulent flow from Re, and calculate f by substituting the formula: streamline flow f ¼ 16/Re (theoretical formula), and turbulent flow f ¼ 0.0791 Re (21/4) (experimental formula). d. Calculate DP from f, DP ¼ 4f (ru 2/2)(L/d). e. Calculate the outlet pressure of the target pipe from the inlet pressure and DP. 3. Calculate as follows for each very little area, and calculate the pressure at the porous material outlet. a. The inlet pressure of the immediately above piping is assumed to be the inlet pressure of the target porous area. b. Assume u, and calculate DP/l under the value. 2
(hu=dp2 )(1 1) (ru2 =dp )(1 1) DP ¼ 150 þ 1:75 13 l 13 c. Calculate DP, and calculate the outlet pressure of the target porous material. 4. Change the assumed value of u for each porous material so that P ¼ 0 at each porous material 5. Change the assumed value of P0 so that the total fluid flow meets the set value (5 l/min). 6. Until the calculation converges, repeat (1) –(5). d. Validation of Calculation Results Using the porous material samples, calculation values and actual measurements of the relationship between the inlet pressure and fluid flow were compared. The used porous material sample is 157.5-mm long, 7-mm wide, and 7-mm high. The porous material porosity 1 is 40%. The porous material particle diameter (dp) is calculated by four actual measurements, and this value is used for dp in the calculation. Figure 3.99 shows the results of calculation values and actual measurements. Both values show good corresponding. From these results, based on the estimated
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Flow rate (l/min)
142 2 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0
Calculate Measurement
0
0.5
1
1.5
Inlet pressure/ (kgf/cm2)
FIGURE 3.99 Validity confirmation of parameter setting.
assumptions and calculation method of this time, the basic design of the cleaning fluid supply or discharge section (rectification mechanism) can be made. e. Structure of the Cleaning Fluid Supply/Discharge Section of the BPP Nozzle for Cleaning of 720 mm Substrate
t3
40
Based on the consideration of the optimization of cleaning fluid supply or discharge section, the prototyped was made. Figure 3.100 shows the schematic of the prototype. The BPP nozzle for cleaning of 720-mm substrate, each two pieces of components in this figure are used at the cleaning fluid feed section and the cleaning fluid discharge section, that is, total four pieces are used. Figure 3.101 shows the measurement results of fluid flow control at the central of the prototype,
75-.12
FIGURE 3.100 Cleaning solution inlets and outlets control parts of 720 mm BPP nozzle. Four parts in the BPP nozzle are shown.
High-Performance Wet Cleaning Technology
143
Amount of liquid (a.u.)
Photograph of feed of cleaning solution 14 12 10 8 6 4 2 0 0
100
200
300
400
Position
FIGURE 3.101 The distribution of amount of liquid from cleaning solution control parts of 720 mm BPP nozzle.
where the fluid flow is slightly larger but in the relatively homogeneous state. This component has the simple structure. It is hollowed from one 3-mm thick Teflon or stainless steel substrate as shown in the figure and 75 holes with hole diameter f of 1.2-mm are formed with 4-mm pitch on the underpart of the substrate. It is installed on the oscillator section using SUS plates and secured by screws. And each two pieces of fluid feed pipes and fluid discharge pipes are connected to the pipes that are formed on the SUS plates.
5. Optimum BPP Nozzles The following are the essential points of optimized BPP nozzles. 1. Use the oscillator of 35 mm width PZT, and determine the length of one oscillator in response to the nozzle length. 2. Enclosure (radiant board): width 45 mm, board thickness 3 mm (using 1 MHz ultrasonic wave). Form the thin part around the end of oscillator, and bend it. Apply the complex electrolytic polishing process to the wetted surface. 3. Cleaning fluid supply or discharge section (rectification mechanism): Use the 3-mm thick Teflon or stainless steel board to be the porous structure. 4. Holding of cleaning fluid supply or discharge section (rectification mechanism): the structure sandwiched between the stainless steel materials with width of 8 mm or less, that are applied the complex electrolytic polishing process. Based on the above points (1) –(4), the BPP nozzle with width 70 mm or less can be manufactured.
144
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
D. CLEANING CAPACITY OF BPP NOZZLES 1. Cleaning Power Evaluation using 6-in. Glass Substrates and Silicon Wafers Figure 3.88 shows the cleaning experiment system with dual type BPP nozzles. The ideal situation of BPP nozzles is considered to be of dual type. Ultrasonic waves can be applied to only upper part, or only lower part, or both upper and lower part at the same time. In this experiment, an oscillator is installed on only the upper part. In the dual type, ultrasonic waves can be applied regardless of the existence of substrates, and the wetted surface of the nozzles can always be in the cleaned state. The distance between upper and lower nozzles was fixed to 6 mm. The location of nozzles was adjusted so that the substrate passed through near the central of 6 mm cleaning fluid. The substrate conveyance used rollers. The frequency of the oscillator is 950 kHz, and the output is 300 W. For comparison, the shower type nozzles of the ultrasonic oscillator with frequency of 950 kHz and output of 300 W were used. For the cleaning evaluation of traditional nozzles, spin method was used and the revolution during cleaning was set to 200 rpm. For the evaluation of particle elimination, the laser scattering method particle counter is used to evaluate the particle of 0.5 mm or more. For drying, the sheet-fed method spin dry equipment was used. Figure 3.102 shows the evaluation results of cleaning. In the combination of BPP nozzles and hydrogen water (1.3 ppm, pH 10), contaminated particles can be eliminated completely. And the cleaning time is only 5 sec. This corresponds to 33 sec cleaning for a 1 m long substrate. In the combination with BPP nozzles and ultrapurified water, highly clean surface can be achieved with one order of magnitude lesser quantity of cleaning fluid. Cleaning of silicon wafers with dual type BPP nozzles was tried. The silicon wafers were conveyed by placing them on the quartz substrate holder. For comparison, the shower type nozzles and the point type nozzles that are used in semiconductor field recently were used. The oscillator had the frequency of 1.5 MHz and the output power of 48 W. The revolution during cleaning was set to 600 rpm, and quantity of cleaning fluid was 2 l/min. We used 5-in. Si wafers. We used the PSL: 0.178 mm as the forced contaminated particles, and the contamination to the silicon wafer was made in dilute hydrofluoric acid (DHF: 0.5% HF). For the evaluation of particles, the Surfscan6420 (Tencor Instruments) was used to evaluate the fine particles of 0.160 mm or more.
FIGURE 3.102 Cleaning efficiency of BPP nozzle on glass substrate.
High-Performance Wet Cleaning Technology
145
FIGURE 3.103 Cleaning efficiency of BPP nozzle on Si wafer surface.
Figure 3.103 shows the results. The PSL 0.178 mm contaminants in the dilute hydrofluoric acid (DHF: 0.5% HF) tend to be harder to eliminate than alumina on the glass substrate. The combination of BPP nozzles and ultrapurified water reduces the number of particles to 1000 or less in the 12.5 sec cleaning time. Using the shower type nozzle, about 7000 particles remain after 15 sec cleaning time. And when using the point type nozzle the particle counting keeps overflowing, the combination of BPP nozzles and hydrogen water (1.3 ppm, pH 10) reduces the number of particles to about 100 in the 12.5 sec cleaning time. However, the combination of point type nozzles and hydrogen water (1.3 ppm, pH 10) for 15 sec cleaning time keeps overflowing. From these results, it turns out that the BPP nozzle cleaning is useful for silicon wafer cleaning. 2. Cleaning Evaluation using the 550 mm 3 650 mm Glass Substrate This section describes the results of cleaning evaluation of BPP nozzles using the 550 mm 650 mm glass substrate. Figure 3.104 shows the exterior appearance of the BPP nozzles used in the evaluation. Figure 3.105 shows the conceptual diagram of the new ultrasonic cleaning equipment that is equipped with BPP nozzles. In the cleaning evaluation of large size substrate, based on the concept of Figure 3.105, the sheet-fed method cleaning equipment using the roller horizontal conveyance method that can be equipped with required number of nozzles in response to the main cleaning process was prototyped. Figure 3.106 shows this cleaning equipment. The cleaning flow is as follows. The first step is the combination of hydrogen water (set value of hydrogen quantity 1.3 ppm, pH 10) and BPP nozzles. The second step is the rinse process with the combination of ultrapurified water and BPP nozzles. The third step is the ultrapurified water shower for drying substrates because the distance between the rinse process and air knives is long in the cleaning equipment used in the experiment. The fourth step is the air knife drying. Figure 3.105 shows the cleaning results of glass substrates using this cleaning equipment. As for quantity of cleaning fluid, hydrogen water is 7 l/min, and purified water rinse is also 7 l/min. The substrate conveyance velocity is fixed to 20 mm/sec, and the oscillator uses the frequency 950 kHz and the output power. The figure shows a very good cleaning result. This result is equivalent or more than the latest cleaning equipment for large size substrates.
146
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 3.104 The schematic diagram of BPP nozzle and the photograph of BPP nozzle for large size glass cleaning.
In the next, the glass substrates on which a metal film was formed were cleaned. Figure 3.107 and Figure 3.108 show the cleaning result, which is good. 3. Cause of Performance Differences with Traditional Ultrasonic Equipment To clarify the causes of performance differences between the traditional shower type nozzles or point type nozzles and the BPP nozzles, the acoustic pressure evaluation of each piece of equipment, and the ultrasonic wave application area of each equipment were examined.
Ozonized Megasonic Megasonic BPP UPW H2Water H2Water Air knife Substrate
Remove Remove Metallic/Organic Particle contamination
Loader
Rinse
Cleaning Chamber
Drying
Unloader
FIGURE 3.105 The schematic diagram of new concept cleaning system.
High-Performance Wet Cleaning Technology
147 2170 mm
BPP nozzle 5sets Air knife
FIGURE 3.106 The schematic diagram of cleaning machine that is used for experiment.
a. Comparison of Acoustic Pressure First we evaluated the acoustic pressure of shower-type nozzles and BPP nozzles. The shower-type nozzles and the BPP nozzles use oscillators of the same specification. Figure 3.109 shows the measurement results. The acoustic pressure of the BPP nozzles is about four times higher than the shower-type nozzles. The shower-type nozzles are estimated to have ultrasonic energy loss because they apply ultrasonic waves with cleaning fluid from the 1-mm wide opening. b. Comparison of Ultrasonic Applied Areas The ultrasonic applied areas per unit of time with point type nozzles, shower type nozzles, and BPP nozzles were compared. Figure 3.110 shows the results. The point type nozzle cleans with the cleaning fluid that is superimposed about 1– 2 l/min of ultrasonic waves from an opening with a diameter of 4 mm. The shower type nozzle with an opening with 1 mm diameter cleans 152 mm long substrate with the cleaning fluid that is superimposed with about 10 l/min of ultrasonic
Particle (counts)
Removal rate: 96% 1600 1400 1200 1000 800 600 400 200 0
1420
Before After
868 425 30 S(1 µm)
22 M(3 µm)
127
1 L(5 µm)
53 Total
Particle Size Substrate size: 550 × 650 mm Cleaning speed : 20 mm/sec
FIGURE 3.107 Cleaning efficiency of BPP nozzle on large-size glass substrate.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
148
Particle (counts)
Removal rate: 98% 1600 1400 1200 1000 800 600 400 200 0
1640 Before After 858 408 7
13 S(1 µm)
374
M(3 µm)
2 L(5 µm)
22 Total
Particle Size Substrate size : 550 × 650 mm Cleaning speed : 20 mm/sec
FIGURE 3.108 Cleaning efficiency of BPP nozzle on large-size glass substrate with metal.
waves. The BPP nozzle cleans 35-mm wide and 152-mm long substrate while flowing about 1 l/min of cleaning fluid and superimposing ultrasonic waves from above. Therefore, the ultrasonic wave application area of BPP nozzle is about 400 times of the point type nozzle and about 35 times of the shower type nozzle. This difference of application area is assumed to cause the difference of cleaning power. Therefore, the BPP nozzle has a possibility of cleaning 400 times faster than the point type nozzle. 4. Ideal Situation of Ultrasonic Cleaning Equipment When considering the ideal situation of ultrasonic cleaning equipment, the size of TFT/LCD production plant and the investment pattern for the plant are very important. Currently in Japan, a small size production line is desired because investment is made only if required. Therefore, the needs for cleaning equipment are generated from that viewpoint. There are two main processes that use the ultrasonic cleaning equipment: cleaning before forming a film and cleaning before photoresist coating. The desired cleaning equipment system in the small size production line is as follows: 1. Equipment that uses minimum cleaning fluid and gas. A small quantity of supplied fluid and air reduces the discharged water and air. If the supplied fluid or air and discharged water or air are minimal, the cleaning system can be downsized and weight saved.
Sound pressure ratio to Conventional shower
5 4 3 2 1 0
BPP nozzle
Conventional shower type nozzle
FIGURE 3.109 Comparison of the sound pressure of BPP nozzle and conventional shower type nozzle.
High-Performance Wet Cleaning Technology (a)
149
(b)
(c)
Area ratio to conventional point nozzle 24
:
1
(a) Conventional shower type nozzle (b) Conventional point type nozzle (c) BPP nozzle
:
425
Water flow width 1.5~2 mm Water flow ∅ 4 mm Transducer width 35 mm
FIGURE 3.110 Comparison of megasonic irradiation area of each nozzle.
2. Move the substrate as little as possible. If possible, do not move the substrate of the equipment that shifts the cleaning nozzle to prevent cracks and breaking of substrate edge. Not moving the substrate can reduce the footprint drastically. 3. Downsize the equipment to manufacture the necessary quantity of functional water and install it in the cleaning equipment. If the quantity of cleaning fluid used becomes a tenth part of the traditional equipment, the capacity of functional water equipment is estimated to be 100 l level. The lifetime problem of the ozone water will be eliminated because of short distance of supplying fluid. 4. Perform the discharge and recovery of cleaning fluid in the cleaning equipment. If the quantity of cleaning fluid used becomes a tenth part of the traditional equipment, the capacity of cleaning fluid recovery equipment is estimated to be 100 l level. A a cleaning tool of ultrasonic cleaning equipment, use of the BPP nozzle is required. Using the BPP nozzle reduces the quantity of cleaning fluid used to tenth part of the traditional equipment and enables microminiaturization of the functional water equipment. The discharged water at the TFT/ LCD has relatively high cleanliness and recovery is easy. The BPP nozzle can bring the discharged water to the recovery system directly. The exhaust airflow of the equipment is estimated to be reduced to a tenth part or less of the traditional equipment because no mist is generated at the BPP nozzle. Figure 3.111 shows the introduction form into each process of the ultrasonic cleaning equipment shown in Figure 3.105. The ultrasonic cleaning equipment that can be expanded as needed is required for the small size production line.
E. SUMMARY This section describes the concept, development, and cleaning evaluation results of BPP nozzles as the ultrasonic equipment that is suitable for TFT/LCD cleaning. The overview is as follows. 1. By controlling the surface tension, the distance between a nozzle and a substrate, and the cleaning fluid flow to be supplied and discharged, the cleaning fluid layer can be formed
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
150
Function water manufacturing unit
Function water manufacturing unit
Wet cleaner
Drain collection reproduction unit Function water manufacturing unit
Set wet cleaner to a really necessary place
Wet cleaner
Drain collection reproduction unit
Vacuum thin film deposition device
Vacuum thin film deposition device
Wet cleaner
Another production process device
Drain collection reproduction unit Function water manufacturing unit
Wet cleaner
Another production process device
Drain collection reproduction unit
FIGURE 3.111 The concept chart of application form of cleaner to manufacturing process.
2. 3. 4. 5.
6. 7.
8. 9.
on the substrate, and good cleaning is achievable by superimposing ultrasonic waves to the dilute cleaning fluid. The thickness of the cleaning fluid layer can be 7.5 mm. The acoustic pressure becomes four times and the application area becomes 35 times of the shower type nozzle using the oscillator of same specification. The flow velocity of the cleaning fluid to be controlled is one-tenth of the shower type nozzle. This means the quantity of cleaning fluid used can be one-tenth or less. Supplying and discharging cleaning fluid to a BPP nozzle can be performed with one or two pipes for supplying and discharging cleaning fluid for a 1 m long nozzle by optimizing the current plate structure of the cleaning fluid supply or discharge section. The target cleaning time of 30 sec for cleaning of 1 m2 substrate can be achievable. The BPP nozzle with the cleaning effective width of 720 mm (nozzle length: 915 mm) was manufactured and evaluated. Using the stainless steel processing techniques and oscillator bonding techniques, the possibility of manufacture of nozzles with the cleaning effective length of 1 m is presented. Use of BPP nozzles reduces the footprint and generates the possibility of cleaning equipment that can connect directly with film forming equipment. The consideration of eligibility of BPP nozzles clarified that nozzles with the width that is installable on the existing cleaning equipment can be manufactured.
REFERENCES 1. M. Itano, F. W. Kern. Jr., R. W. Rosenberg, M. Miyshita, I. Kawanabe, and T. Ohmi, IEEE Trans. Semicond. Manuf., 5, 114– 120, 1992. 2. K. Christenson, S. Smith, and D. Werho, Microcontamination, 6, 47, 1994.
High-Performance Wet Cleaning Technology
151
3. M. Toda, M. Katoh, K. Mitsumori, and T. Ohmi, Chemistry of ultrapure water excited by megasonic, in: Proceedings of 25th Symposium on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, pp. 19– 32, 1995. 4. T. Ohmi, in: Proceedings of 25th Symposium on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, p. 79, 1995. 5. T. Ohmi, J. Electrochem. Soc., 143, 2957, 1996. 6. N. Yoneda, K. Kiyoshi, T. Kataoka, Y. Tatehaba, K. Kitagawa, and E. Ando., SPC Electronics Corp., Technical Report No. 10, 1998. 7. Supersonic Handbook, Maruzen, Japan, pp. 309 – 312, 1999. 8. T. J. Mason and J. P. Lorimer, Sonochemistry Theory: Applications and Uses of Ultrasound in Chemistry, John Wiley and Sons, New York, p. 31. 9. K. Mitsumori, E. Oh, Y. Kasama, T. Imaoka, M. Yoshizawa, K. Yamanaka, M. Katoh, M. Toda, S. Ojima, K. Kubo, H. Morita, and T. Ohmi, Improved wet cleaning process using electrolytic ionized water for TFT-LCD manufacturing, in: Proceedings of 25th Symposium on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, pp. 86 – 101, 1995. 10. J. Jitsuyoshi, Y. Kikuchi, O. Kumamoto, Supersonic Technological Handbook, Nikkan Kogyo Shimbun Ltd, Japan, pp. 142– 143, 1960. 11. H. Morita, Doctoral Report of Tohoku University, Japan, 2000. 12. Japan Patent No. 3511441 13. Japan Patent No. 3511442 14. US Patent No. 6230722 15. K. Mitsumori, N. Haga, Y. Kasama, T. Imaoka, N. Takahashi, and T. Ohmi, in: Proceedings of 33rd Symposium on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, pp. 162 – 167, 1999. 16. K. Mitsumori, N. Haga, Y. Kasama, T. Imaoka, N. Takahashi, and T. Ohmi, in: Proceedings of the Advanced wet Leaning Method with One-Tenth Quantity of Cleaning Solution, ECS/ESJ/JSAP, pp. 1137, Hawaii, 1999. 17. Y. Kasama, K. Mitsumori, N. Haga, T. Imaoka, N. Takahashi, and T. Ohmi, LCD/PDP International ’99, Tutorial text (LCD), F-6 (4), pp. 1 – 10, 1999. 18. K. Mitsumori, T. Ohmi, N. Haga, Y. Kasama, N. Takahashi, and T. Imaoka, Advanced wet cleaning technology for next generation FPD Manufacturing, in: Proceedings of the 2000 Semiconductor Pure Water and Chemical Conference, Santa Clara, CA, pp. 318 – 328, 2000. 19. K. Mitsumori, N. Haga, Y. Kasama, N. Takahashi, T. Imaoka, and T. Ohmi, Advanced wet cleaning using novel nozzle and functional ultrapure water in next generation FPD/LSI manufacturing, in: The Ninth International Symposium on Semiconductor Manufacturing, Proceeding of ISSM 2000, Tokyo, 2000, pp. 329–332. 20. K. Mitsumori, N. Haga, Y. Kasama, N. Takahashi, T. Imaoka, and T. Ohmi, Advanced wet leaning method with one tenth quantity of cleaning solution, Electrochem. Soc. Proceed., 99 – 36, 37 – 44, 2000. 21. J. Jitsuyoshi, Y. Kikuchi, and O. Kumamoto, Supersonic Technological Handbook, Nikkan Kogyo Shimbun Ltd, Japan, pp. 21– 66, 1960. 22. Supersonic Handbook, Maruzen, Japan, p. 672, 1999. 23. T. Gotoh, S. Okano, and N. Takahashi. Kaijo Technical Report, No. 2, pp. 23 – 28, 1995. 24. A. Fujie and N. Takahashi, Kaijo Technical Report, No. 4, pp. 59 – 66, 1996. 25. J. Jitsuyoshi, Y. Kikuchi, and O. Kumamoto, Supersonic Technological Handbook. Nikkan Kogyo Shimbun Ltd, Japan, pp. 75– 91, 1960.
4
Etching of Various SiO2 Tatsuhiro Yabune Stella Chemifa Corporation, Osaka, Japan
Masayuki Miyashita Stella Chemifa Corporation, Osaka, Japan
Hirohisa Kikuyama Stella Chemifa Corporation, Osaka, Japan
Jun Takano Stella Chemifa Corporation, Osaka, Japan
Akinobu Teramoto Tohoku University, Sendai, Japan
CONTENTS I. Etching of Various SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Overview of Dissociation State of Hydrofluoric Acid . . . . . . . . . . . . . . . . . . . C. Reaction between SiO2 and Hydrogen Fluoride (Reaction Mechanism) . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Completely Selective and Nonselective Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Etching Mechanism of Oxides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Etching Mechanism of SiO2 Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. SiO2 Etching with F2 Ion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. SiO2 Etching with HF2 2 Ion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4. Effects of Etching Reaction Products on Etch Rate . . . . . . . . . . . . . . . . . C. Etching Mechanism of BPSG Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Etching of BPSG Film with Nondissociated (Neutral) HF . . . . . . . . . . . 2. Perfectly Selective Etching of BPSG Film . . . . . . . . . . . . . . . . . . . . . . . . D. Etching by Etchant with Solvent Featuring Low Relative Dielectric Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Nonselective Etching of BPSG Film and SiO2 Film . . . . . . . . . . . . . . . . 2. Perfectly Selective Etching of BPSG Film . . . . . . . . . . . . . . . . . . . . . . . . E. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . III. Contact Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Current Contact Hole Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Optimum Chemical Composition for Contact Hole Cleaning . . . . . . . . . . . . . D. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
155 155 156 156 158 159 159 160 160 162 163 171 172 172 175 177 177 179 183 184 185 185 186 188 191 192 153
154
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
IV. BHF with Extremely High Etch Rate Applicable to Single-W Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Etchant to Control HF Dissociation to Achieve Extremely High Etch Rate (Hþ Ion Injection to BHF Solution) . . . . . . . . . . . . . . . . . . . . . . . . C. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Surfactant Functions Required for Etchants and Cleaning Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Conditions for Surfactant Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Functional Evaluation of Surfactant-Added BHF . . . . . . . . . . . . . . . . . . . . . . 1. Improvement of Wettability on Si Surface and Resist Surface . . . . . . . . 2. Reduction of Particles in BHF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Suppression of Particle Adhesion to Si Wafer Surface . . . . . . . . . . . . . . 4. Elimination of Organic Residues on Si Surface . . . . . . . . . . . . . . . . . . . 5. Suppression of Increase of Microroughness on Si Wafer Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6. Etching Selectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7. Penetration into Minute Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8. Suppression of Foam Generation in BHF . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VI. HF Gas Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Gaseous-Phase Selective Etching of Native Oxide . . . . . . . . . . . . . . . . . . . . . 1. HF Gas Etching Apparatus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Etching Reaction of HF Gas and SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Selective Etching of Various Silicon Oxide Films . . . . . . . . . . . . . . . . . 4. HF Critical Concentration Dependence on Surface State of Various Silicon Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5. Evaluation of Si Surface after HF Gas Etching of SiO2 Film . . . . . . . . . 6. Removing of Terminating Fluorine after HF Gas Treatment . . . . . . . . . 7. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Completely Selective Etching of BPSG using an Anhydrous-HF Gas for Fabrication of Gas-Isolated Interconnections . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Gas-Isolated Interconnect High-k Gate Dielectric Metal Gate Metal Substrate SOI Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Fabrication of Gas-Isolated Interconnections . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VII. Uniform Etching of Glass Substrate Made from Multiple Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Etching Glass Substrate with HF and BHF . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Etch Rate on Glass Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Solubility of Cations Derived from Glass Substrate . . . . . . . . . . . . . . . . . . . . E. Surface Microroughness of Glass Substrate after Etching . . . . . . . . . . . . . . . . F. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VIII. Extremely Low Etch Rate Control Technology/AFM Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Study of Etching Reaction to Develop Etchant Featuring Extremely Low Etch Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
192 192 195 199 199 200 200 204 204 205 207 209 210 210 211 212 213 213 213 214 215 216 218 218 220 222 223 223 225 230 231 231 232 233 235 235 237 238 238 238 239
Etching of Various SiO2
155
C. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246 D. Standardization of AFM Calibration Method . . . . . . . . . . . . . . . . . . . . . . . . . . 247 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
I. ETCHING OF VARIOUS SiO2 TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION Hydrogen fluoride (HF) is essential for Si semiconductor technology because it is only HF that can etch SiO2. In 1670s, hydrogen fluoride was first reported as a gas capable of etching glass material. The first industrial application of HF was to use it as an etchant of glass in 1930s. In Si semiconductor industry that emerged in 1970s, fluorine, hydrogen fluoride, and hydrofluoric acid (aqueous solution of hydrogen fluoride) have been used as etchants for Si compounds. Hydrogen fluoride has had a lot to do with Si compounds ever since its discovery because of its chemical reactivity. In general, the direction of a chemical reaction is determined by change in free energy of reaction. Table 4.1 compares “change in free energy of reaction (DF)” between Si/SiO2 and F2/HF with that between Si/SiO2 and Cl2/HCl. DF represents a difference in “standard Gibbs free energy of formation (DG 0)” between the reactants and that in the products [1]. DG 0 of SiF4 ( ¼ 216.2 eV/mol) is significantly larger than that of SiCl4, which overwhelmingly dominates DF value, namely direction of the reaction. In other words, reaction Equation (1) to Equation (4) these reaction are exothermic reactions proceeding from the left side to the right side, and they can be applied to etching of Si compounds. On the other hand, the reaction Equation (7) and Equation (8) do not proceed toward the right side but they proceed toward the left side as exothermic reaction, and thereby they cannot be used to etch silicon oxides. Table 4.1 tells us that F2 and HF feature reactivity essential for Si semiconductor manufacturing process because of high free energy of formation of SiF4.
TABLE 4.1 The Free Energy Change of Reaction of Si or SiO2 and F2 or HF (Ref. Reaction of Cl2 or HCl) The Free Energy Change of Reaction Si (s)þ2 F2 (g) ¼ SiF4 (g) Si (s)þ 4 HF (g) ¼ SiF4 (g)þ2 H2 (g) SiO2 (s)þ2 F2 (g) ¼ SiF4 (g) þ O2 (g) SiO2 (s)þ4 HF (g) ¼ SiF4 (g)þ2 H2O (g) Si (s)þ2 Cl2 (g) ¼ SiCl4 (g) Si (s)þ4 HCl (g) ¼ SiCl4 (g)þ2 H2 (g) SiO2 (s)þ2 Cl2 (g) ¼ SiCl4 (g) þ O2 (g) SiO2 (s)þ4 HCl (g) ¼ SiCl4 (g)þ2 H2O (g)
DF (eV/mol)
DF (kJ/mol)
Formula
216.2 24.94 27.38 20.83 26.35 22.43 þ2.47 þ1.69
(2157.65) (2479.85) (2716.05) (280.35) (2616.98) (2235.78) (þ239.42) (þ163.68)
(1) (2) (3) (4) (5) (6) (7) (8)
Note: Standard Gibbs free energy [DG 0 (eV/mol)] at 298.15 K. HF (g): 22.81; SiF4 (g): 216.2; SiO2: 28.82; HCl (g): 20.98; SiCl4 (g): 26.35; H2O (g): 22.35.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
156
B. OVERVIEW OF DISSOCIATION STATE OF HYDROFLUORIC ACID Figure 4.1 shows concentration of the three dissociated ion species (Hþ, F2, and HF2 2 ) of HF in each HF concentration region. The state of HF dissociation is different among the HF concentration regions I, II, and III. Region I: As HF concentration gets lower than 0.25 mol/kg (0.5%), HF dissociation proceeds þ 2 and accordingly HF2 2 disappears to turn to a simple system composed of H and F . 2 Region II: Along with rise in HF concentration, F and HF form hydrogen bond, making HF2 2 dominant. Meanwhile, Figure 4.2 is uses measured value of dissociation constant in a region of 0.01 –2.0 mol/kg based on transference numbers reported by Davies and Hudleston (in 1924) [2] and measured value of dissociation constant in a region of 0.5– 6.0 mol/kg based on conductivity and potential measurement of McTigue et al. (in 1985) [3]. The two values completely agree with each other in the overlapped region, which proves great achievements in the basic chemical researches in each era: 1920s and 1980s. Region III: In high HF concentration of over 10 mol/kg to anhydrous HF region, HF gradually turns into a powerful proton donator. When HF concentration exceeds 50%, it becomes ultra-strong acid featuring higher Hammett acidity function than sulfuric acid [4]. Nondissociation region: Nondissociation region lies across the three regions. Infrared absorption spectroscopy has identified those compounds in the nondissociation region as ion complex compounds [H3Oþ. . . F2] [5]. In summary, hydrofluoric acid has two characteristics: (1) an electron donator in low-concentration region and (2) a strong proton donator in high-concentration region. The bilateral characteristic is reflected in its etch rate on SiO2 that will be described subsequently.
C. REACTION BETWEEN SiO2 AND HYDROGEN FLUORIDE (REACTION MECHANISM) In order to break O22Si22O bond structure on SiO2 surface, it is necessary for reactant ion species and the SiO2 surface to exchange electrons. Figure 4.2 shows “electron exchange mechanism” in liquid-phase reaction between SiO2 and hydrofluoric acid (aqueous solution of hydrogen fluoride). HF Concentration (wt%) 0.5 1
102
5 10
50 100
101 Ion Concentration (mol/kg)
n
tio
ia
100 s
di
n No
10−1
c so
+
H
10−2 ia
oc
10−3 10−4
F–
n tio
e
et
pl
ss di
HF2–
m Co
Range I
Range II
Range III
10−5
10−6 10−5
10−4
10−3
10−2
10−1
100
101
HF Concentration (mol/kg)
FIGURE 4.1 Concentration of three dissociated ion species (Hþ, F2, and HF2 2 ) of HF in each HF concentration region.
Etching of Various SiO2
157
OH
OH
OH Electron ;
Si
Si
Si
O
O
O OH
I
+ Siδ
Si
Si
2H2O
Si
Si
HO
F H3O+ O δ−
O O
O F Si δ+
HF2–
O F
F +2HF V
Si
H2O OH
O
OH
F
OH IV
O
F
O
O
O
O
O
Si
Si
O
Si
OH
OH
H3O+
O OH
III
O
HF2– OH
O
II
O
O
F
H2SiF6
F
OH
FIGURE 4.2 Electron exchange mechanism in liquid-phase reaction between SiO2 and hydrofluoric acid.
This is a schematic diagram of four-valent coordinate structure of Si on SiO2 surface (with end group of 22Si22OH), ignoring their bond angle. Because of the difference in electronegativity, Si22O bonding electron is attracted toward oxygen atom, forming a state of SidþOd2. HF2 2 , an electron donator generated as a result of ion dissociation reaction of HF, approaches toward Sidþ while H3Oþ (¼an electron receptor) approaches toward Od2. Through Processes I– IV in Figure 4.2, which electron in HF2 2Si22O bond chain to be received by H3Oþ, F22Si22F 2 passes through O2 bond is generated and SiF4 is released from the surface. Then in Process V, H2SiF6 is generated because of ion reaction. Electron exchange Processes I– IV: þ SiO2 þ 2HF 2 þ 2H3 O ¼ SiF4 þ 4H2 O
(4:1)
SiF4 þ 2HF ¼ H2 SiF6
(4:2)
Ion reaction Process V:
Figure 4.3 shows a model of electron exchange mechanism in gas phase reaction between SiO2 surface and hydrogen fluoride. HF molecule in gas phase does not react with the O22Si22O bond. As shown in Equation (4.3) and Process (4.1) in Figure 4.3, HF needs to be first dissolved into water adsorbed on SiO2 surface, and then dissociated into ions just like in the aqueous solution, which triggers the entire reaction process. The so-called “ion trigger mechanism” is essential. Once ion dissociation reaction of HF starts along with the reaction between HF and the water adsorbed on
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
158
HF OH
H2O
OH
HF2– OH + Siδ
F HF
OH
Si 2H2O O
O F H3O+ O δ−
OH
Si
HF HO
O O
F Si δ+
HF2–
O F
F H2O
Si
Si OH O
O O
F
O
O
Si
O
Si
Si
OH
H3O+
O
OH
IV
O
O
OH
O
O
Si
2H2O
Si O
O
III
OH
O O
II
OH Si
Si A
I
Electron ;
HF
F
F
OH
FIGURE 4.3 Electron exchange mechanism in gaseous-phase reaction between SiO2 and hydrogen fluoride.
SiO2 surface, the electron exchange Processes I– IV proceed in the same way as shown in the above-mentioned reaction of hydrofluoric acid (i.e., liquid-phase reaction). In the gas-phase reaction, however, H2O generated through the electron exchange Processes I –IV [Reaction Equation (4.1)] is fed back to the ion dissociation reaction of HF molecule in the gas-phase. It is this dissociation which accelerate the etching reaction. Etch rate, therefore, in the gaseous-phase reaction is much higher than that in liquid-phase reaction [6,7]. Ion dissociation reaction of HF triggered by HF dissolution into water adsorbed on SiO2 surface: þ 2HF þ H2 O ¼ HF 2 þ H3 O
(4:3)
REFERENCES 1. Chemical Handbook, 4th ed., Vol. II, Japan Chemical Society. 2. C.W. Davies and L.J. Hudleston, Transference numbers and ionic complexity of hydrofluoric acid solution, J. Chem. Soc., 125, 260– 268, 1924. 3. P. McTigue, T.A. O’Donnel, and B. Verity, Aust. J. Chem., 38, 1797 –1807, 1985. 4. H.H. Hyman, M. Kilpatrick, and J.J. Katz, The Hammett acidity function H0 for hydrofluoric acid solutions, J. Am. Chem. Soc., 79, 3668– 3671, 1957. 5. P.A. Giguere, Ion pairs and the strength of hydrofluoric acid, Chem. Phys. Lett., 41 (3), 598 – 600, 1976.
Etching of Various SiO2
159
6. N. Miki, H. Kikuyama, M. Maeno, J. Murota, and T. Ohmi, Selective etching of native oxide by dry processing using ultra clean anhydrous hydrogen fluoride, IEDM Techn. Digest, 730 – 733, 1988. 7. N. Miki, H. Kikuyama, I. Kawanabe, M. Miyasita, and T. Ohmi, Gas-phase selective etching of native oxide, IEEE Trans. Electron Dev., 37(1), 107 – 115, 1990.
II. COMPLETELY SELECTIVE AND NONSELECTIVE ETCHING TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION In early 1970s, wet etching was employed in every etching step of semiconductor device manufacturing process. In the wet etching step, SiO2 covered with patterned photo-resist mask was treated with buffered hydrofluoric acid (BHF), a buffer solution composed of ammonium fluoride (NH4F) and HF. Along with rapid device shrink, such as DRAM of 64, 256 kbit, 1, 4, 64, 256 Mbit, and 1 Gbit, the wet etching was gradually replaced by dry etching. A major reason of this replacement is that the wet etching is an isotropic etching. In other words, it becomes hard for the wet etching to perform accurate etching precisely in accordance with the fine photoresist pattern transferred onto the wafer in the lithography process. The dry etching primarily based on reactive ion etching (RIE) performs an anisotropic etching, which makes it possible to etch the fine pattern precisely. Although the wet etching step is decreasing, wet-cleaning step is increasing. Because RIE assisted by ion may damage Si substrate or leave photoresist residues on the patterned film, wet cleaning needs to be performed as a posttreatment of RIE. The semiconductor device manufacturing process has been using HF and BHF to etch or remove SiO2 in wet etching or in wet cleaning. HF is produced as a 100%-anhydrous hydrofluoric acid, and it is diluted with ultrapure water (UPW) to achieve specified concentrations by chemical vendors to be delivered to the semiconductor device manufactories. In general, chemical vendors deliver HF solution of 50% concentration. Semiconductor device manufacturers dilute the 50% HF solution with UPW to achieve the specified concentrations. When being applied to the cleaning step to remove native oxide, HF of 0.5% in concentration is commonly used. BHF is mainly used to etch SiO2 covered with the patterned photoresist mask. BHF is a mixture of 40% NH4F, 50% HF, and H2O in general. Traditionally, as shown in Table 4.2, BHF is prepared by mixing 40% NH4F and 50% HF at a ratio which is determined by required etch rate. Table 4.2 indicates that BHF’s etch rate for thermal SiO2 film depends on HF concentration. The thermal SiO2 film in Table 4.2 is grown in wet oxidation at 10008C. To clean the surface of contact hole, BHF featuring lower etch rate than 30 A˚/min is employed. BHF whose etch rate is ˚ /min is used to prepare a standard sample for calibration of Atomic precisely adjusted to 1 A Force Microscope (AFM) and Scanning Tunneling Microscope (STM). Figure 4.4 shows the etch rate of BHF and HF on thermal SiO2 film at 258C. This figure suggests that etch rate gets higher as HF concentration is raised in both etchants. When HF concentration is the same, etch rate of BHF is higher than that of HF. This implies that NH4F concentration, in addition to HF concentration, dominates the etching of SiO2 film. This section will report the mechanism of the etching reaction between SiO2 and HF/BHF and that between BPSG film and HF/BHF will be also discussed. Based on the study of etching reaction mechanisms, the authors will identify a dominant chemical species of etching on each of the two films, and report that completely selective etching and nonselective etching on the two films can be realized by controlling the generation of these dominant chemical species [1].
160
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
TABLE 4.2 Composition and Etch Rate for Thermal SiO2 of BHF Mixed ratio of BHF (wt. ratio) 40% NH4F:50% HF 5:1 6:1 9:1 10:1 20:1 30:1 100:1 400:1
NH4F (wt.%)
(mol/l)
33.3 34.3 36.0 36.4 38.1 38.7 39.6 39.9
9.00 9.27 9.73 9.84 10.30 10.46 10.70 10.78
B. ETCHING MECHANISM
OF
Composition HF (wt.%) (mol/l) 8.3 7.1 5.0 4.5 2.4 1.6 0.5 0.1
4.17 3.55 2.50 2.25 1.20 0.80 0.25 0.05
NH4F/HF mol ratio
Etch Rate Thermal Oxide at 2588 C (A˚/min)
2.16 2.61 3.89 4.37 8.58 13.07 42.81 215.60
1410 1150 780 700 370 260 90 27
OXIDES
1. Etching Mechanism of SiO2 Film The mechanism of etching reaction between SiO2 and HF/BHF is expressed as follows: 1. Stoichiometric reaction equation of SiO2 and HF is: SiO2 þ 6HF ! H2 SiF6 þ 2H2 O
(4:4)
2. Stoichiometric reaction equation of SiO2 and BHF is: SiO2 þ 4HF þ 2NH4 F ! (NH4 )2 SiF6 þ 2H2 O
(4:5)
However, in order to understand the actual etching reactions, it is necessary to note the ionic species in HF/BHF solutions and their dissociation state.
FIGURE 4.4 Etch rate of HF and BHF solution for thermal SiO2.
Etching of Various SiO2
161
In HF solution system (a single system of HF), Hþ, F2, and HF2 2 are generated through the following two-step dissociation reaction: HF () Hþ þ F HF þ F () HF 2
(4:6) (4:7)
Reported acid dissociation constants of the dissociation reaction shown in Equation (4.6) are 2.4 1024 and 1.3 1023 at 258C [2 –26]. These acid dissociation constants are very small because HF molecule is very stable because of high bond energy of H22F bond and because intermolecular hydrogen-bond energy is high due to extremely high dipole moment of HF molecule [27]. The fact that acid dissociation constant of Equation (4.6) is very small means that Hþ and F2 generated through HF dissociation are very much limited in amount. Unlike the other halide acids such as hydrochloric acid, D-HF (diluted HF aqueous) features characteristics of extremely weak acid. Acid dissociation constant of Equation (4.7) is 1/0.104 (¼9.615) [18], which is higher than that of Equation (4.6). HF2 2 is major anion species in BHF solution. As dissociation in Equation (4.6) is very much limited, it is speculated that absolute amount of HF2 2 generated to Equation (4.7) should be less than that of nondissociated HF in Equation (4.6). Figure 4.5 shows conductivity as a function of HF concentration in HF solution. This figure suggests that HF should be almost completely dissociated when HF concentration is 0.0001 mol/kg. Figure 4.6 shows etch rate on thermal SiO2 film as a function of HF concentration. ˚ /min. Based on these At HF concentration of 0.002 mol/kg, etch rate is as low as about 0.0009 A results, it is speculated that etch rate is negligibly low at HF concentration of 0.0001 mol/kg or less where HF seems to be almost completely dissociated. In BHF solution system, HF goes through the dissociation reaction, just like in HF solution, in accordance with Equation (4.6) and Equation (4.7) while NH4F goes through the following dissociation reaction because NH4F is a strong electrolyte: NH4 F ! NHþ 4 þF
(4:8)
In typical BHF composition, F2 is generated in large amount in accordance with Equation (4.8) because NH4F concentration is sufficiently higher than HF concentration. As a result, F2 exists at 100
Conductivity at 24°C (mS/cm)
HF KF 10
1
0.1
0.01
0.001 0.00001 0.0001 0.001
0.01
0.1
Concentration (mol/kg)
FIGURE 4.5 Conductivity of HF and KF solution(at 248C).
1
10
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
Etch Rate of Thermal SiO2 at 24°C ( /min)
162
1000 100 10 1 0.1 0.01 0.001 0.0001 0.001
0.01
0.1
1
10
HF Concentration (mol/kg)
FIGURE 4.6 The relationship between HF concentration and etch rate of thermal SiO2.
high concentration in BHF solution, which eventually suppresses the acid dissociation reaction of HF shown in Equation (4.6) and thereby the concentration of nondissociated HF increases. This nondissociated HF, together with F2 existing in large amount because of dissociation reaction of NH4F to Equation (4.8), will accelerate the reaction of Equation (4.7) to increase HF2 2 concentration. In the following sections the authors will report the effects of each ionic species in etchants on etching reaction. 2. SiO2 Etching with F2 Ion As a result of the above-mentioned Equation (4.6) to Equation (4.8) as well as dissociation of H2O, 2 þ 2 þ different ions: Hþ, F2, HF2 2 , NH4 , and OH exist in BHF solution, it is obvious that H and OH generated in H2O dissociation cannot etch SiO2 by themselves. This speculation is supported by the report that native oxide grows in UPW [28]. In an attempt to study how F2 is involved in etching reaction, the authors conducted an experiment using potassium fluoride (KF), a strong electrolyte. Figure 4.7 shows F2 concentration as a
– F Ion Concentration (mol/kg)
10 1 0.1 0.01 0.001 0.0001 0.00001 0.00001
0.0001
0.001
0.01
0.1
1
KF Concentration (mol/kg)
FIGURE 4.7 F2 concentration as a function of KF concentration in KF solution.
10
Etching of Various SiO2
163
function of KF concentration in a region where KF concentration is 1 mol/kg or less. Figure 4.7 indicates that KF is almost completely dissociated in accordance with Equation (4.9). KF ! Kþ þ F
(4:9)
Figure 4.8 shows etch rate of thermal SiO2 film as a function of F2 concentration in KF solution. Figure 4.9 compares etch rate of thermal SiO2 film between KF solution and HF solution. Based on Equation (4.6) and Equation (4.7), it is easily speculated that F2 concentration in HF solution is lower than that in KF solution. Etch rate of HF solution of thermal SiO2 film, therefore, is more than two digits higher than that of KF solution. Even by raising KF concentration to 6%, etch rate ˚ /min. Their experimental results have demonstrated that F2 ion by remains as low as 0.0001 A itself hardly etches SiO2 [29,30]. Even in KF solution, etching can proceed slightly because HF 2 and HF2 2 are generated in very small amount through the acid dissociation reaction between F þ in KF solution and H derived from H2O dissociation. 3. SiO2 Etching with HF2 2 Ion 2 Next the authors studied the contribution of HF2 2 ion to SiO2 etching. As described earlier, HF2 is generated in accordance with Equation (4.6) and Equation (4.7) in HF while it is generated in accordance with Equation (4.7) and Equation (4.8) in BHF. First of all, HF2 2 concentration in BHF was obtained. Equation (4.6) and Equation (4.8) which represent the acid dissociation reaction of HF molecule in BHF employed acid dissociation constants of K1 ¼ 1.3 1023 and 1/K2 ¼ 1/0.104, as shown in Equation (4.10) and Equation (4.11), respectively at 258C.
½Hþ ½F ¼ K1 ½HF
(4:10)
½HF 2 ¼ 1=K2 ½HF½F
(4:11)
Etch Rate of Thermal SiO2 at 24°C ( /min)
0.1
0.01
0.001
0.0001 0.001
0.01
0.1
1
10
− F Ion Concentration of KF solution (mol/kg)
FIGURE 4.8 Relationship between etch rate of thermal SiO2 and F2 ion concentration in KF aqueous solution.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
164
1000
Etch Rate of Thermal SiO2 at 24°C ( /min)
HF
KF
100
10
1
0.1
0.01
0.001
0.0001 0.001
0.01
0.1
1
HF or KF Concentration (mol/kg)
FIGURE 4.9 Relationship between etch rate of thermal SiO2 and F2 ion concentration in HF and KF aqueous solution.
According to law of conservation of mass, state of HF dissociation in BHF can be expressed as: m ¼ ½HF þ ½Hþ þ ½HF 2
m þ an ¼ ½HF þ ½F þ
2½HF 2
(4:12) (4:13)
where m, initial molar concentration of HF; n, initial molar concentration of NH4F; a, degree of NH4F dissociation. Hþ concentration was obtained from pH value measured with pH test paper. By using these equations, the authors calculated the concentration of each ion in different compositions of BHF (NH4F22HF22H2O solution). Table 4.3 summarizes the calculated results. HF2 2 concentration in HF solution and in BHF solution was also measured with FT-IR (Fourier Transform Infrared Spectrophotometer). In this measurement, a cell consisting of CaF2 or BaF2 window with length of 25 mm was used. Figure 4.10 shows the measurement results. In HF solution, the measurement was taken in the HF concentration range of 0 –70% (35 mol/l). In BHF solution, the measurement was taken while fixing HF concentration at 2.5 mol/l (5%) and changing NH4F concentration to 1.25 mol/l (4.6%), 2.5 mol/l (9.3%), and 7.5 mol/l (27.8%). 2 In the FT-IR measurement, HF2 2 spectrum appears around 1210/cm. When HF2 concentration 2 in HF solution was measured in this experiment, HF2 absorption peak first appeared as HF concentration exceeded 60% (30 mol/l). In the case of BHF solution, HF2 2 absorption peak was detected as NH4F concentration exceeded 1.25 mol/l although HF concentration was as low as 2.5 mol/l. Table 4.3 shows absorption intensity of HF2 2 ion measured in BHF solution. The table also shows measured etch rate of thermal SiO2 film. Figure 4.11 shows the relationship between HF2 2 concentration calculated by using Equation (4.10) to Equation (4.13) as well as the measured pH values, and absorption intensity of HF2 2 measured with FT-IR. The following experimental Equation (4.14) was derived from the correlation shown in Figure 4.11: ½HF 2 cal ¼ 8:518½HF2 abs þ 0:014
(4:14)
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
No. — 0.96 0.54 0.98 0.65 0.70 0.77 0.72 0.60 0.45 0.61 — 1.00 0.88 0.90 0.86 0.75 0.59 0.61 0.62 — 1.00 0.86 0.98 0.83
9.80E 2 02 2.50E 2 02 2.50E 2 02 7.90E 2 03 1.00E 2 02 4.00E 2 03 2.50E 2 04 8.00E 2 05 6.30E 2 05 4.00E 2 05 5.00E 2 06 1.40E 2 01 5.00E 2 02 1.80E 2 02 1.30E 2 02 6.30E 2 03 4.00E 2 03 6.30E 2 04 1.00E 2 04 4.00E 2 05 2.30E 2 01 1.20E 2 01 2.50E 2 02 1.60E 2 02 1.00E 2 02
0.000 0.270 0.500 0.540 0.750 1.000 2.000 3.000 3.890 6.000 10.000 0.000 0.270 0.750 0.811 1.125 1.500 3.000 4.500 5.840 0.000 0.270 1.250 1.350 1.875
1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.5 1.5 1.5 1.5 1.5 1.5 1.5 1.5 1.5 2.5 2.5 2.5 2.5 2.5
Disassosiation Ratio of NH4F
[H1] Conc. (mol/l)
Composition HF NH4F (mol/l) (mol/l) 8.70E 2 02 0.26 0.26 0.45 0.42 0.57 0.86 0.92 0.93 0.94 0.98 0.13 0.29 0.61 0.66 0.84 0.95 1.25 1.39 1.43 0.22 0.37 1.3 1.23 1.42
[HF22] 0.82 0.72 0.72 0.54 0.57 0.43 0.14 0.08 0.07 0.06 0.02 1.23 1.16 0.87 0.83 0.65 0.55 0.25 0.11 0.07 2.05 2.01 1.45 1.25 1.07
[HF] 0.006 0.03 0.035 0.09 0.07 0.13 0.68 1.24 1.39 1.77 5.09 0.01 0.03 0.07 0.08 0.14 0.18 0.51 1.36 2.19 0.01 0.02 0.075 0.11 0.14
[F2]
Calculated Ion Conc. (mol/l)
N.D. 0.026 0.046 0.043 0.061 0.073 0.093 0.104 0.111 0.124 — N.D. 0.028 0.071 0.069 0.085 0.120 0.158 0.159 0.161 N.D. 0.033 0.130 0.129 0.173
Absorption Intensity of HF22 at 1210 cm21
(Table continued)
117 207 259 593 300 333 395 405 405 417 — 179 280 415 343 493 527 591 602 594 300 415 772 795 990
Etch Rate of Thermal SiO2 ˚ /min) Film (A
TABLE 4.3 The Relation between BHF Composition and Calculated Concentration of Each Ion, HF2 2 Concentration Measured with FT-IR, and Measured Etch Rate of Thermal SiO2 Film
Etching of Various SiO2 165
0.80 0.62 0.62 0.72 0.72 0.87
4.00E 2 03 4.00E 2 04 6.30E 2 05 1.60E 2 05 4.00E 2 06 1.60E 2 04
2.500 5.000 7.500 9.700 10.300 4.595
2.5 2.5 2.5 2.5 1.2 2.7
Note: N.D., Not determined.
26 27 28 29 30 31
No.
Disassosiation Ratio of NH4F
[H1] Conc. (mol/l)
Continued
Composition HF NH4F (mol/l) (mol/l)
TABLE 4.3
1.75 2.23 2.39 2.45 1.18 2.55
[HF22] 0.75 0.28 0.11 0.05 0.02 0.17
[HF] 0.24 0.86 2.24 4.51 6.27 1.46
[F2]
Calculated Ion Conc. (mol/l)
0.218 0.274 0.276 0.275 0.114 0.281
Absorption Intensity of HF22 at 1210 cm21
968 987 902 822 336 1019
Etch Rate of Thermal SiO2 ˚ /min) Film (A
166 Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
Etching of Various SiO2
167
v2H2O (1645)
H2O NH4F=1.25mol/l HF=2.5mol/l
30% HF
50% HF
Absorption Intensity
Absorption Intensity
10% HF
NH4F=2.5mol/l HF=2.5mol/l
NH F=7.5mol/l 4 HF=2.5mol/l
60% HF 70% HF v3HF2– v2HF2– (1730) (1260) v4H3O+F– (1880) 4000
3200 2400 2000 1600 1200
800
400
NH4+
H3O+
H3O+–F–
4000
HF2–
3200 2400 2000 1600 1200
(a) HF aqueous
800
400
(b) BHF aqueous
FIGURE 4.10 HF2 2 ion measured with FT-IR.
The results demonstrate that the method employed to calculate HF2 2 concentration using Equation (4.9) to Equation (4.12) was correct. For the three different BHF solutions with the HF concentration varied (Table 4.3), relationship between HF2 2 concentration and NH4F concentration is shown in Figure 4.12. The solid lines represent experimentally obtained HF2 2 concentrations (obtained from absorption peaks measured with
2.5
−
Calculated Concentration of [HF2] (mol/l)
3.0
2.0
1.5
1.0
0.5
0.0 0
0.05
0.1
0.15
0.2
0.25
0.3
Absorption Intensity of [HF2−] 2 FIGURE 4.11 Correlation between HF2 2 concentration measured with FT-IR and calculated HF2 concentration.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
168
NH4F Concentration (wt%) 3 0
20
30
40
: HF = 1.0mol/L : HF = 1.5mol/L : HF = 2.5mol/L
2.5
HF2− Concentration (mol/l)
10
2
1.5
1
0.5
0
Experimental value Calculated value 0
2
4
6
8
10
12
NH4F Concentration (mol/l)
FIGURE 4.12 Relationship between HF2 2 concentration and NH4F concentration.
FT-IR). The broken lines represent theoretically obtained HF2 2 concentrations (obtained from acid dissociation constant of hydrogen fluoride). HF2 2 concentration tends to be saturated when molar ratio between HF and NH4F exceeds 1:1 (equimolar). The experimental values are in very good agreement with the theoretical values. Figure 4.13 shows the etch rate of thermal SiO2 film as a function of NH4F concentration. The conditions used in Figure 4.12 are applied to the experiment shown in Figure 4.13. Figure 4.12 and Figure 4.13 indicate a strong correlation between HF2 2 concentration and etch rate except for the high NH4F concentration region. With the assumption that HF2 2 is a dominant ion of etching reaction, reaction between SiO2 and BHF can be expressed as: þ þ SiO2 þ 4HF þ 2NH4 F ! SiO2 þ 3HF 2 þ H þ 2NH4 ! (NH4 )2 SiF6 þ 2H2 O
(4:15)
Equation (4.15) indicates that Hþ as well as HF2 2 contribute to etching reaction of SiO2. For three NH4F concentrations (1, 1.5, and 2.5 mol/l) shown in Table 4.3, the authors studied the relationship between NH4F concentration and Hþ concentration calculated from pH values measured with pH test paper. It is found that Hþ concentration drops sharply along with increase of NH4F concentration. As shown in Figure 4.12 and Figure 4.13, although HF2 2 concentration remains constant, etch rate drops along with increase of NH4F concentration in high-concentration NH4F region. One of the causes of this phenomenon is a sharp drop of Hþ concentration in BHF solution. Figure 4.14 shows conductivity of NH4F solution measured with platinum electrode featuring cell constant of 1.025 and frequency of 3000 Hz. Along with rise of NH4F concentration, conductivity goes up. Conductivity hits its maximum when NH4F concentration is 25.9%, and then it starts falling because of interaction among ions. The result indicates that nondissociated NH4F exists in highconcentration NH4F solution and that ion mobility gets lower. þ Figure 4.15 shows etch rate per mole of HF2 2 ion as a function of H concentration. There is a þ point of inflection around equimolar composition of HF and NH4F (H concentration of 8.5 1023 mol/l). When equimolar composition of HF and NH4F is achieved, Hþ concentration is 4 1023 mol/l (indicated by W in Figure 4.15). This means that reaction mechanism changes around the
Etching of Various SiO2
169
FIGURE 4.13 Relationship between etch rate of thermal SiO2 and NH4F concentration.
equimolar composition. In the region where NH4F concentration is in excess (Region I), F2 ion is supplied through NH4F dissociation. Because of the ion product, dissociation through Equation (4.6) is further suppressed, and thereby HF dissociation in Region I is suppressed, which extremely lowers 2 Hþ concentration. Etch rate, therefore, is not affected by Hþ ion but solely depends on HF2 2 ion. F 2 ion to generate HF2 ion through Equation (4.7) is mostly supplied by dissociation of NH4F whose concentration is higher than HF concentration. HF2 2 concentration in Region I, therefore, is determined solely by HF concentration. Also in Region I, etch rate per mole of HF2 2 ion remains constant, regardless of Hþ concentration, because HF dissociation hardly takes place and thereby Hþ concentration is extremely low (Hþ 8.5 1023 mol/l). In Figure 4.15, etch rate per mole 250
Conductivity (mS/cm)
200
150
100
50
0
0
5
10
15 20 25 30 NH4F Concentration (%)
FIGURE 4.14 Conductivity as a function of NH4F concentration.
35
40
45
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
170
(Etch Rate)/(HF2−Conc.) (Å • l/mol/min)
1600 1400 1200 1000
E2
800 E1
600 400
(II)
(I)
200 0 1.0E-6
1.0E-5
0.0001
0.001
0.01
0.1
1
H+ Concentration (mol/l) þ FIGURE 4.15 Etch rate or HF2 2 concentration as a function of H concentration.
þ of HF2 2 ion varies as small as 300, namely from 300 to 600, whereas H concentration varies by four 26 22 þ orders from 10 to 10 mol/l. This is considered because H concentration is too low to contribute to etch rate. The slight variance of Hþ concentration, however, implies that Hþ concentration affects, though in a limited manner, etching reaction as shown in Equation (4.15). These results þ 23 indicate that etch rate mainly depends on HF2 mol/l). 2 concentration in Region I (H 8.5 10 þ 23 In Region II (H 8.5 10 mol/l) where ratio of NH4F concentration against HF concentration is smaller than equimolar composition, HF molecule which does not bind with F2 ion exists because of shortage of F2 ion. This facilitates dissociation of HF in accordance with Equation (4.6). In Region II (Hþ 8.5 1023 mol/l), therefore, both NH4F concentration and HF concentration þ contribute to etch rate. Also, both HF2 2 ion and H ion contribute to etching as reactant ions. At the intersection of the two lines in Figure 4.15, HF and NH4F are in equimolar composition (6, 17, and 26 in Table 4.3). In Region I, excessive F2 ion generated by excessive NH4F against HF exist in solution. Because of the buffer effect of F2 ion, HF dissociation [as shown Equation (4.6)] is suppressed even when HF concentration changes. As a result, Hþ concentration remains almost unchanged. This means Region I features buffer effect against Hþ ion. In Region II, on the other hand, NH4F concentration runs short to achieve equimolar composition. Hþ concentration significantly affects etch rate. Relationship between etch rate in Regions I and II (E1 and E2) and Hþ concentration is expressed as follows: Region I: (NH4F molar concentration)/(HF molar concentration) 1
þ E1 ¼ 735:4 ½HF 2 þ 77:3 ½HF2 log½H
(Hþ 8:5 103 mol/l) Region II: (NH4F molar concentration)/(HF molar concentration) 1 þ E2 ¼ 1778:9 ½HF 2 þ 581:0 ½HF2 log½H
(Hþ 8:5 103 mol/l)
Etching of Various SiO2
171
4. Effects of Etching Reaction Products on Etch Rate When SiO2 is etched with HF and BHF, H2SiF6 and (NH4)2SiF6 are generated in accordance with Equation (4.4) and Equation (4.5), respectively. These reaction products need to be promptly diffused from surface where etching reaction is proceeding throughout bulk etchant. To facilitate the diffusion, it is very important to increase solubility of these reaction products in etchants. Figure 4.16 shows (NH4)2SiF6 solubility in different BHF compositions. When NH4F concentration in BHF is high (30 – 40%), (NH4)2SiF6 solubility is as low as 1– 2 g/100 g BHF. As shown in Figure 4.16, (NH4)2SiF6 solubility goes up along with drop of NH4F concentration. There is an inflection point in (NH4)2SiF6 solubility around an NH4F concentration of 20%. This is because solid state changes from (NH4)2SiF6 to NH4F . (NH4)2SiF6 [31]. It implies that rise of (NH4)2SiF6 solubility in BHF can increase the extent of etching although it is necessary to give considerations to the consumption of chemical species through etching reaction. It has been revealed that HF2 2 is a dominant ion in etching reaction between BHF and SiO2. It is 2 also reported that HF2 2 is generated as nondissociated HF and F ion supplied mainly by NH4F in BHF react each other. However, when the ratio of NH4F concentration against HF concentration gets larger than equimolar composition, however, etch rate no longer depends on NH4F concentration; in this region, etch rate starts falling as NH4F concentration goes up. This is considered because too high an NH4F concentration lowers the solubility of (NH4)2SiF6, restricting the etch rate. Also, electrical conductivity shows an extreme drop as NH4F concentration .25% (Figure 4.14) which indicates measured conductivity of NH4F solution. This is attributed to the drop of ion mobility as a result of decrease in the degree of NH4F dissociation and increase a viscosity of solution. In such BHF solution, etching reaction cannot proceed promptly. It is speculated that a pattern profile with high an aspect ratio can be uniformly etched, even if it is not enough to diffuse of BHF solution into pattern during etching reaction, when (NH4)2SiF6 solubility in BHF is raised by lowering NH4F concentration. To prove this speculation, the authors studied etching uniformity for a fine pattern profile.
FIGURE 4.16 Solubility of reaction product for BHF and relationship between HH4F concentration of BHF with 5% HF and etch rate for thermal SiO2.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
172
Figure 4.17 shows the etched depth of thermal SiO2 film as a function of etching time when a hole of 10 mm 10 mm is etched. When BHF with low NH4F concentration (NH4F concentration: 17% and HF concentration: 1.8%), etched depth is perfectly proportional to etching time. When the conventional BHF is used, however, etch rate gets slower as etched depth exceeds 6000 A˚. This is considered because solubility of (NH4)2SiF6, reaction product, goes down. At early stage of etching step as well, etch rate is slower, and linearity is not achieved between etched depth and etching time. This is because viscosity of BHF goes up due to high concentration of NH4F in this region and high viscosity slows down diffusion rate of BHF. By lowering NH4F concentration in BHF solution, viscosity is decreased from 4.3 to 1.6 cP at 208C, and thereby time lag for BHF to enter a hole of 10 mm 10 mm through the resist film can be reduced. The experimental results shown in Figure 4.17 indicate that introduction of BHF with low NH4F concentration enables us to perfectly control the etching process by means of etching time. Figure 4.18 shows AFM images of etched profile (top view and cross-sectional view), comparing the conventional composition BHF and BHF with low NH4F concentration. In this experiment, ˚ . When the conventional compoa contact hole with diameter of 1 mm was etched by about 1000A sition BHF is used, the diameter of etched contact hole is not uniform (Figure 4.18); etching proceeds in a non-uniform manner. When BHF with low NH4F concentration is used, it is possible to uniformly etch the contact hole with 1 mm diameter (Figure 4.19).
C. ETCHING MECHANISM
OF
BPSG FILM
1. Etching of BPSG Film with Nondissociated (Neutral) HF Figure 4.20 shows the etch rate of BHF with HF concentration varied at 1, 3, and 5%, when it was applied to BPSG film with B concentration of 2% and P concentration of 5% which went through the thermal treatment at 850 – 9008C for 30 min. The etch rate deteriorates in every case after the film is thermally treated. This is a consideration because the density of the film is enhanced by the thermal treatment. For comparison, the etch rate of BHF with HF concentration of 1% is shown in figure when it was applied to nondoped chemical vapor deposition (CVD) film with thermal treatment at 10008C for 30 min. 9000 : Low NH4F Concentration BHF (HF=1.8wt%, NH4F=17.0wt%) : Conventional BHF (HF=2.4wt%, NH4F=38.1Wt%)
8000
Etched Depth (Å)
7000 6000 5000 4000 3000 2000
10 × 10 µm Hole
1000 0 0
5
10
15
20
25
30
Etching Time at 25°C (min)
FIGURE 4.17 Relationship of etched depth of SiO2 feature having 10 mm square hole and etching time.
Etching of Various SiO2 Line
Marker
173 Clear
FFT zoom
0
100
Section
-100
Rq Ra RMax Rz 2.00
0
4.00
8.00
6.00
µm
FFT
20 : 1BHF 2.5min ETCHING Fixed line
38.320 nm 32.801 nm 110.29 nm 106.98 nm
Horiz distance (L) Vert distance Angle Horiz distance Vert distance Angle Horiz distance Vert distance Angle FFt period(lc) FFt amplituce
dc
2.8923 nm 95.705 nm 1.8952 deg
DC 54.743 nm
Min
FFT zoom 1:1
FIGURE 4.18 AFM images of etched contact hole with diameter of 1 mm when the conventional composition BHF is used (top view and cross-sectional view).
Line
Marker
Spectrum Zoom
Clear
Center Line
100
Section Analysis
-100
0
L 1.164 nm RMS 47.360 nm lc DC Ra(lc) 18.224 nm RMax 74.222 nm Rz 58.636 nm Rz Cnt 4 0
5.0
2.5
µm
7.5
10.0
Spectrum
LA400 2.5min ETCHING Fixed line
zoom 1:1
Dc
Horiz distance (L) Vert distance Angle Horiz distance Vert distance Angle Horiz distance Vert distance Angle Spectral period Spectral amp
1.164 nm 100.77 nm 4.948 deg
DC 54.743 nm
Min Center Line off
FIGURE 4.19 AFM images of etched contact hole with diameter of 1 mm when BHF with low NH4F concentration is used (top view and cross-sectional view).
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
174
105
No Thermal Thermal Treatment Treatment
HF Conc. (wt%)
No doped SiO2 BPSG film B Conc. 2wt% P Conc. 5wt%
1 1 3 5
Etch Rate (Å/min)
104
103
102 0
10 20 30 NH4F Concentratation (wt%)
40
FIGURE 4.20 Etch rate of BPSG film with or without thermal treatment as a function of NH4F concentration in BHF with 1, 3, and 5% HF, respectively.
Figure 4.21 shows the etching selectivity of BPSG film and thermal SiO2 film. The BPSG film is doped of B at 2% and P at 5%, and which is thermally as treated at 850– 9008C for 30 min. The broken line in this figure indicates that the composition of BHF is equal etch rate for both films. This indicates that it is possible to control the etching selectivity of BPSG film and thermal SiO2 film. In order to control this etching selectivity, the following three points must be studied: (1) type and
Etching Selectivity (BPSG/Thermal SiO2)
10 No Thermal Treatment
Thermal HF Conc. wt % Treatment 850-950°C 30min 1 3 5
BPSG film P Conc., 5wt % B Conc., 2wt %
5
1 0
10
20 30 NH4F Conc. (wt%)
40
FIGURE 4.21 Etching selectivity of BPSG film versus thermal SiO2 film as a function of NH4F concentration in BHF with 1, 3, and 5% HF, respectively.
Etching of Various SiO2
175
amount of dopant to be added, (2) conditions of thermal treatment, and (3) composition of BHF. About the composition of BHF, NH4F concentration effects on etching selectivity strongly. Figure 4.22 shows NH4F concentration dependence of etch rate of BHF on thermal SiO2 film and on BPSG film. In this experiment, HF concentration was fixed at 2.7%. Equimolar composition between HF and NH4F is achieved when NH4F concentration is about 5%. Figure 4.22 shows etch rate in a region where ratio of NH4F concentration against HF concentration is below the equimolar composition. Etch rate of thermal SiO2 film goes up along with increase of HF2 2 concentration as a result of rise in NH4F concentration. On the other hand, etch rate on BPSG film initially shows a slight increase along with rise of NH4F concentration, but it starts falling after a while. NH4F concentration dependence of etching reaction is found different between BPSG film and thermal SiO2 film. Figure 4.22 also indicates that etch rate of BPSG film in BHF solution at the equimolar composition is lower than that in BHF solution with 0% NH4F (i.e., HF solution). In BHF solution with equimolar composition, there are F2 ion, nondissociated HF and Hþ ion as well as HF2 2 ion. The chemical species whose concentration decreases as NH4F concentration increases are Hþ and HF. As Hþ ions cannot etch the oxide, the nondissociated (neutral) HF is considered to contribute to the etching reaction of BPSG film. Figure 4.23 shows concentration of nondissociated (neutral) HF and HF2 2 ion as a function of NH4F concentration. In this experiment, HF concentration was fixed at 2 mol/kg and equimolar composition is achieved when NH4F concentration is about 2 mol/kg. Along with the rise of NH4F concentration, HF2 2 concentration goes up while HF concentration declines. This implies that the etching of BPSG film is dominated by nondissociated (neutral) HF. It should be noted, however, that BPSG film is a mixture of SiO2, B2O3, and P2O5. It is HF2 2 ion which dominates SiO2 etching. P2O5 strongly reacts with H2O as well. On the basis of these facts, it is speculated that B2O3 etching is dominated by nondissociated (neutral) HF. 2. Perfectly Selective Etching of BPSG Film By etching BPSG film with 100% HF, the authors verified that nondissociated (neutral) HF as well as HF2 2 ion functioned as the dominant chemical species in the etching process. As the boiling point of 100% HF is 208C, the experiment was conducted at 58C to suppress HF evaporation.
FIGURE 4.22 Etch rate of BPSG film and of thermal SiO2 film as a function of NH4F concentration in BHF with 2.7% HF.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
176 1.20E+00
[HF2−],[HF]Conc. (mol/kg)
1.00E+00 [HF2−]
8.00E−01
[HF] 6.00E−01
4.00E−01
2.00E−01
0.00E+00 0
2
4
6 8 NH4F Conc. (mol/kg)
10
12
FIGURE 4.23 Ion concentration as a function of NH4F concentration in BHF (HF: 2 mol/kg).
Also, conductivity measurement of 100% HF reveals that 100% HF is anhydrous HF (A-HF) containing no water and that no dissociation takes place in 100% HF [32]. In other words, A-HF is completely composed of nondissociated (neutral) HF. Table 4.4 shows etch rate of A-HF of thermal SiO2 film and of BPSG film at 58C. It is indicated that thermal SiO2 film (SiO2) is not at all etched whereas BPSG film is etched by A-HF. ˚ /min. It is speculated that this slight Etch rate of A-HF on thermal SiO2 film is less than 5 A etching proceeds when A-HF adsorbed on thermal SiO2 film during etching step gets dissociated at early stage of subsequent UPW rinsing. As dominant ion of SiO2 etching is HF2 2 , A-HF solely composed of nondissociated (neutral) HF cannot etch SiO2. On the other hand, BPSG film is etched by nondissociated (neutral) HF, and etch rate of BPSG film is found as high as about 1.4 mm/min. These results demonstrate that A-HF solely composed of nondissociated (neutral) HF can only etch BPSG film without etching thermal SiO2 film at all. BPSG film is perfectly selectively etched at extremely high etch rate with A-HF. It is not clear, however, how SiO2 in BPSG film is etched with A-HF. Our speculation is that SiO2 is lifted off to be removed from the film surface. To verify the speculation, the authors etched BPSG film with A-HF halfway through and observed its surface with AFM. Figure 4.24 shows the AFM images. Extremely fine holes, which are not detected before the A-HF etching step, are observed on the surface of BPSG film etched with A-HF. The holes around 0.2 mm in diameter are detected countlessly on the surface of etched BPSG film. It is considered that these fine holes are generated
TABLE 4.4 Measured Etch Rate of BPSG Film and Thermal SiO2 film when 100% HF(A-HF) is Used BPSG A-HF
14400
˚ /min) Etch Rate at 588 C (A Thermal SiO2 ,5
Etching of Various SiO2
177
BPSG Surface : control
After Etching with AHF
FIGURE 4.24 AFM images of BPSG film surface etched with A-HF.
because B2O3 and P2O5 in BPSG film are selectively etched and removed with A-HF [33]. If etching with A-HF leaves these fine holes on BPSG film surface, it would be difficult to adopt A-HF as a part of the cleaning process. However, if the purpose is to totally remove the BPSG film, such intermediate state of A-HF etching will not cause any problems.
D. ETCHING
BY
ETCHANT
WITH
SOLVENT FEATURING LOW RELATIVE DIELECTRIC CONSTANT
1. Nonselective Etching of BPSG Film and SiO2 Film Previously the authors described precision etching of SiO2 achieved by optimizing composition of BHF traditionally used in ULSI device manufacturing process. In this section, the authors will report an etchant developed based on a new concept. It is revealed that the dominant chemical species in the etching reaction of BPSG film is nondissociated (neutral) HF and HF2 2 ion. On the other hand, the dominant chemical species in the etching reaction of SiO2 is HF2 2 ion. These results have been derived from the chemistry of aqueous solution using H2O with very high relative dielectric constant (1r) of 78.4 as solvent. The authors came up with a new concept to directly control the dissociation state of solute by using organic solvent featuring low relative dielectric constant. If the dissociation state of solute can properly controlled, it would be possible to control concentration of dominant chemical species to etch a certain film. By controlling the concentration of the relevant dominant chemical species, selective etching of a certain film would be realized. When electrical charges, q1 and q2, exist at a distance of r in vacuum ambience, the force between the two electrical charges ( fvac) (attracting force if q1 and q2 feature opposite polarity; repulsive force when q1 and q2 feature the same polarity) can be expressed as: q1 q2 (4:16) fvac ¼ 4p 10 r 2 where 10, dielectric constant of vacuum (¼8.854 10212/fm). When electrical charges, q1 and q2, exist at a distance of r in liquid dielectric material (solvent), force between the two electrical charges can be expressed as: f ¼
q1 q2 fvac ¼ 4p10 1r r 2 1r
(4:17)
where 1r, relative dielectric constant of solvent .1 and 1r in vacuum is equal to 1. Equation (4.16) indicates that electrostatic interaction between electrical charges gets weakened as solvent features higher relative dielectric constant. Relative dielectric constant of solvent is an important factor to closely relate to electrostatic interaction between solvent and solute and between solutes. It has an overwhelming impact on dissolution and dissociation of electrolyte [34 – 36]. Equation (4.16) and Equation (4.17) suggest that
178
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
dissociation reaction of solute should be suppressed by using etchant featuring low relative dielectric constant. Various organic solvents were tested while NH4HF2 was used as a solute. In aqueous solution, dissociation of NH4HF2 can be expressed as: ! NHþ NH4 HF2 4 þ HF2
(4:18)
HF 2
(4:19) (4:20)
() HF þ F HF () Hþ þ F
The dissociation reactions of Equation (4.19) and Equation (4.20) do not proceed to right side so much because dissociation constant of these reactions in aqueous solution is small. HF2 2 ion, therefore, constitutes majority in the aqueous solution. Meanwhile it is speculated that, in organic solvent featuring low relative dielectric constant, NH4HF2 dissociation can be controlled to be limited to Equation (4.18): generation of NHþ 4 and HF2 . As a result, a concentration of undissociated HF, a dominant chemical species to etch 2 B2O3 in BPSG film, can be set at 0%. Namely, authors speculate that nonselective uniform etching can be performed on both BPSG film and thermal SiO2 film when etchants have only HF2 2 which is a dominant chemical species to etch both BPSG film and thermal SiO2 film. Table 4.5 shows etching selectivity when NH4HF2 is added to UPW (1r ¼ 78.4) and to a mixture of UPW and two different solvents with low relative dielectric constant (IPA: 1r ¼ 19.9 and MeOH: 1r ¼ 32.7). Authors studied ternary system of IPA22NH4HF222H2O and two binary systems of MeOH22NH4HF2 and H2O22NH4HF2. By using IPA featuring low relative dielectric constant as a solvent, etch rate on BPSG film can be brought down below to that on thermal SiO2 film. It is speculated that concentration of HF2 2 is higher than that of nondissociated HF in the mixture as etch rate on thermal SiO2 film is higher than that on BPSG film. It is not clear to what extent NH4HF2 is dissociated in IPA solvent. It is speculated, however, that concentration of nondissociated HF, a dominant ion to etch B2O3 in BPSG film, falls because etch rate on BPSG film declines. Etch rate of IPA22NH4HF222H2O is higher than that of MeOH22NH4HF2 as shown in Table 4.5. This is partly because the IPA22NH4HF222H2O system features high relative dielectric constant due to H2O contained with mixing ratio of 12.6%, and partly because Hþ ion derived from dissociation of HF according to Equation (4.20) and from H2O contributes to the etching reaction. This suggests that mixing ratio of H2O should be one of the major factors in controlling etch rate of each film. When mixing ratio of H2O goes up, nondissociated HF is generated as a result of Hþ ion derived from H2O to raise etch rate of BPSG film, which makes it hard to maintain the same etch rate of thermal SiO2 film and BPSG film.
TABLE 4.5 Nonselective Etching of PBSG Film and Thermal SiO2 Film with Solvents such as IPA, MeOH, UPW
IPA/UPW/NH4HF2 (84.2%/12.6%/3.0%) MeOH/UPW/NH4HF2 (96.2%/0%/3.1%) UPW/NH4HF2 (96.2%/3.1%)
T-SiO2
BPSG
BPSG/T-SiO2
720 104 171
524 75 580
0.73 0.72 3.39
Etching of Various SiO2
179
Using optical microscope, the authors observed surface of thermal SiO2 film and BPSG etched with the solutions shown in Table 4.5. Figure 4.25 and Figure 4.26 show the observation results. Figure 4.25 shows the surfaces etched with IPA22NH4HF222H2O while Figure 4.26 shows the surfaces etched with MeOH22NH4HF2. In this experiment, the films were etched for 5 min, treated with 10-min overflow UPW rinsing (5 l/min), and then they were passed through blow-drying in high-purity nitrogen ambience. After this drying step, the surfaces were observed with an optical microscope with magnification of 400 times. On every surface, crystal deposition is detected. The deposition is more significant on BPSG film. The crystal is considered to be hexafluoroammoniumsilicate ((NH4)2SiF6), a reaction product produced when SiO2 reacts with NH4HF2. Flecks on the surfaces should be the results of defective etching caused by the reaction product deposited on SiO2. In other words, because of extremely low solubility of the reaction product in the solution with low relative dielectric constant, the reaction product gets precipitated on reaction interface (film surface). These solutions causing defects cannot be used in etching step or cleaning step. Their compositions need to be modified by raising mixing ratio of H2O. Because changing H2O mixing ratio also affects relative dielectric constant, however, it is necessary to study how much it will affect etching selectivity.
2. Perfectly Selective Etching of BPSG Film In the previous section “Nonselective Etching of BPSG Flim and SiO2 Film,” the authors discussed whether it was possible to realize nonselective uniform etching of different films by using solvent with low relative dielectric constant. Use of IPA or MeOH featuring low relative dielectric constant as solvent was found effective in uniformly etching different films. This section will report the study about perfectly selective etching. The authors studied whether it was possible to etch only a selected target film by changing relative dielectric constant of solvent. For example, when HF as solute is added to IPA solvent, dissociation state of HF is changed: acid dissociation of HF to Hþ and F2 is suppressed as HF features low acid dissociation constant. As a result, concentration of nondissociated (neutral) HF can be selectively increased. Figure 4.27 shows etch rate of thermal SiO2 film and BPSG film as a function of HF concentration at etching temperature of 258C. In this experiment, HF concentration was varied by mixing IPA and 100% HF (A-HF) containing no H2O. As shown in Figure 4.27, etch rate is significantly different between BPSG film and thermal SiO2 film. In IPA, acid dissociation of HF is mostly suppressed, and thereby generation of HF2 2 ion is very much limited. Then, nondissociation HF concentration is increased selectively. Another experiment was performed in which ethylene
Thermal SiO2
BPSG film
FIGURE 4.25 Microscopic images of thermal SiO2 film and BPSG film etched with IPA2 2NH4HF22 2H2O (400).
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
180
BPSG film
Thermal SiO2
FIGURE 4.26 Microscopic images of thermal SiO2 film and BPSG film etched with MeOH2 2NH4HF2 (400).
glycol (EG) with relative dielectric constant of 37.7 was used instead of IPA. As shown in Figure 4.28, very similar results were obtained. Figure 4.29 shows etch rate as a function of relative dielectric constant. Relative dielectric constant was changed by mixing H2O with relative dielectric constant of 78.4 and a solvent with low relative dielectric constant at varied mixing ratio. Relative dielectric constant of the mixed solvent shows an approximately linear change against volume fraction of component solvents. Relative dielectric constant of the mixed solvent (A þ B) can be approximately expressed as [35]: 1 ¼ wA 1 A þ wB 1 B where w, volume fraction; 1, relative dielectric constant. As shown in Figure 4.29, etch rate of thermal SiO2 film goes up along with the rise of relative dielectric constant. Etch rate increase is attributed to rise of HF2 2 concentration due to increase of HF concentration. On the other hand, etch rate of BPSG film does not depend on relative dielectric constant. This indicates that both HF2 2 ion and nondissociated HF contribute to etching of BPSG film. Equation (4.17) in Section II.D.1 shows electrostatic interaction between electrical charges
100000 BPSG Film
Etch Rate at 25°C (Å/min)
10000 Selectivity = 350 1000 100 Thermal SiO2 10 1 0
5
10
15
20
25
30
HF Conc. in IPA (%)
FIGURE 4.27 Etch rate of HF-IPA solution of BPSG film and thermal SiO2 film.
ε
Solvent HF H2O MeOH
83.6 78.4 32.7
IPA EG PC THF
19.9 37.7 64.9 7.6
Etching of Various SiO2
181
100000
Etch Rate at 25°C (Å/min)
BPSG Film Solvent HF
ε 83.6
1000
H2O MeOH
78.4 32.7
100
IPA EG PC THF
19.9 37.7 64.9 7.6
10000 Selectivity = 172
Thermal SiO2 10
1 0
5
10
15
20
25
30
HF Conc. in EG (%)
FIGURE 4.28 Etch rate of HF-EG solution of BPSG film and thermal SiO2 film.
is weakened as the relative dielectric constant of solvent is higher. It was also pointed out in Section II. D.1 that relative dielectric constant of solvent was an important factor closely related to electrostatic interaction between solvent and solute and between solutes and that it had an overwhelming impact on dissolution and dissociation of electrolyte. This means that the relative dielectric constant determines dissociation state of solute. In an attempt to find how much it influences dissociation state of solute, the authors performed an experiment. Etch rate of thermal SiO2 film was measured while using three solvents: H2O, IPA, and EG. Also, HF concentration was varied to 1.3, 5, and 10%. In this experiment, the authors first figured out relationship between etch rate and HF2 2 concentration in the HF22H2O system. For the other two systems, HF2 2 concentration was calculated based
10000
Etch Rate at 25°C (Å/min)
BPS BPSG 1000 T-SiO2 100
HF conc.: 1.3−1.5% BPSG Thermal SiO2
10
HF conc.: 5% –6% BPSG Thermal SiO2 1 0
10
20
30 40 50 60 Relative Dielectric Constant
70
80
90
FIGURE 4.29 Etch rate of BPSG film and thermal SiO2 film as a function of relative dielectric constant of solvent.
182
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
FIGURE 4.30 Calculated HF2 2 concentration in solution as a function of relative dielectric constant of solvent.
on the assumption that if etch rate of one system was the same as that of HF22H2O system, HF2 2 concentration in the system would be the same as that in HF22H2O system. Figure 4.30 shows the 2 calculated HF2 2 concentration. This figure indicates that HF2 concentration in solution using different solvents remarkably changes along with the change of relative dielectric constant of solvent. The following relationships have been obtained: 0:06321r HF concentration of 1:3%: HF 2 (mol/l) ¼ 0:0006e
(4:21)
0:08171r HF concentration of 5%: HF 2 (mol/l) ¼ 0:0006e
(4:22)
0:08781r HF concentration of 10%: HF 2 (mol/l) ¼ 0:0012e
(4:23)
Table 4.6 summarizes etching selectivity between thermal SiO2 film and BPSG film for different etchants. For HF-IPA solution, the data of 100% HF (IPA: 0%) is also shown for comparison. Maximum etching selectivity is 356 and 179 in HF-IPA solution and HF-EG solution, respectively. The biggest selectivity is achieved when 100% HF stated in Section II.C.2 is used as an etchant though this is a special composition. This is because 100% HF is solely composed of nondissociated HF: it contains no HF2 2 ion. Etching with A-HF leaves these minute holes on BPSG film surface. If the purpose is to totally remove BPSG film, such intermediate state of A-HF etching will not cause any problems. If BPSG film is to be partially etched, however, the minute holes generated by A-HF etching would constitute a big problem. In order to uniformly etch BPSG film, HF2 2 , a dominant ion to etch SiO2, is essential in addition to nondissociated HF. These experimental results demonstrate that it is possible to control dissociation reaction of a chemical species in etchant by adjusting the relative dielectric constant of the solvent. Selective etching between thermal SiO2 film and BPSG film will be realized by applying this technology to control the concentration of the dominant chemical species for target etching reaction.
Etching of Various SiO2
183
TABLE 4.6 Etching Selectivity between BPSG Film and Thermal SiO2 Film in HF-IPA and HF-EG HF Conc. in IPA (%) 1.3 6 10 25 50a 100a HF Conc. in EG(%) 1.2 6 10 25
Etch Rate at 2588 C T-SiO2 BPSG
Selectivity BPSG/T-SiO2
4 6 10 291 3137 5
360 1140 3564 21894 191400 14406
90 190 356 75 61 2881
6 11 21 138
336 1968 3462 23907
56 179 165 173
a
Etching temperature: 58C.
E. CONCLUSION In wet etching and cleaning steps, it has become possible to have an etchant selectively to contain a dominant chemical species of target etching reaction by adjusting relative dielectric constant, which enables us to realize selective etching of target film(s). Instead of the traditional solvent (H2O), the authors used organic solvent featuring low relative dielectric constant such as IPA, EG, and MeOH. Etching selectivity was found to be controlled by changing dissociation state of solutes such as NH4HF2 and HF by means of these organic solvents. It has been found that combination of organic solvent with low relative dielectric constant and NH4HF2 as a solute makes etchant solely composed of HF2 2 ion. When this etchant is used, BPSG film and thermal SiO2 film are etched uniformly, that is, nonselective etching of BPSG film and thermal SiO2 film is realized. This etchant, however, has a problem: precipitation of reaction product. It is necessary to overcome this challenge in the future. When HF is added as a solute to IPA solution, HF dissociation is suppressed, which increases concentration of undissocaited HF. With this etchant, BPSG film is selectively etched. Perfectly selective BPSG film etching is realized when 100% HF (A-HF) composed solely of nondissociated HF is applied. Along with introduction of high-density ULSI devices, dielectric films are expected to be increasingly diversified in type. Dielectric film with low relative dielectric constant will be used for ILD while that with high relative dielectric constant will be used for capacitor and gate dielectric film. As new types of dielectric films are introduced to the ULSI device manufacturing process, the requirements to wet process will become more severe. The conventional chemistry of aqueous solutions will not meet all these new requirements. It is urgently required that we develop a new concept-based chemistry. As an example of such new concept-based chemistry, Section II.D has presented a wet process technology to apply solvents with low relative dielectric constant to control dissociation state of solutes. There are numerous problems to be overcome before introducing chemicals with their relative dielectric constant controlled to the wet process. It is also necessary to study how to recover and
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
184
reuse such chemicals with low relative dielectric constant (primarily organic solvents) in ULSI device manufacturing process. Controlling relative dielectric constant of solvents, however, is considered as one of the most important factors in designing next-generation high-precision etching and cleaning steps of the future wet process.
REFERENCES 1. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Principles of wet chemical processing in ULSI microfabrication, IEEE Trans. Semicond. Manuf., 4, 26 – 35, 1991. 2. H. Pick, Nernst Festschrift, W. Knappe, Halle, pp. 360, 1912. 3. C.W. Davies and L.J. Hudleston, J. Chem. Soc., 125, 260, 1924. 4. M. Aumeras, J. Chem. Phys., 25, 300, 1928. 5. W.A. Roth, H. Pahlke, A. Bertram, and E. Borger, Z. Elektrochem., 43, 350, 1937. 6. C.B. Wooster, J. Am. Chem. Soc., 60, 1609, 1938. 7. W.A. Roth, J. Liebigs Ann. Chem., 542, 35, 1939. 8. C. Brosset, Naturwiss., 29, 455, 1941. 9. H.H. Broene and T. De Vries, J. Vries Am. Chem. Soc., 69, 1644–1646, 1947. 10. T. Erdey-Gruz, L. Majthenyi, and E. Kugler, Acta Chim. Hung. Tomus, 37, 393, 1963. 11. A.J. Ellis, J. Chem. Soc., 4300, 1963. 12. K. Fredenhagen and M. Wellman, Z. Phys. Chem. (Liepzig), A162, 454, 1932. 13. D. Perrin, Dissociation Constants of Inorganic Acids and Bases in Aqueous Solution, Butterworths, London, 1965. 14. M.S. Frant and J.W. Ross, Science, 154, 1553, 1966. 15. R. Haque and L.W. Reves, J. Am. Chem. Soc., 89, 250, 1967. 16. K. Schaumburg and C. Deverell, J. Am. Chem. Soc., 90, 2495, 1968. 17. N.E. Vanderborgh, Talanta, 15, 1009– 1013, 1968. 18. R.E. Mesmer and C.F. Baes Jr., Inorg. Chem., 8, 618, 1969. 19. A.K. Covington and J.M. Thain, J. Chem. Educ., 49, 554, 1972. 20. A.J. Kresge and Y. Chiang, J. Phys. Chem., 77, 822, 1973. 21. Yu. A. Koslov, V.V. Blokhin, V.V. Shurukin, and V.E. Mironov, Russ. J. Phys. Chem., 47, 1343, 1973. 22. G.T. Hefter, J. Solution Chem., 13, 457, 1984. 23. E.W. Baumann, J. Inorg. Nucl. Chem., 31, 3155, 1969. 24. K. Kleboth, Monatsh. Chem., 101, 767, 1970. 25. P.R. Petel, E.C. Moreno, and J.M. Patel, J. Res. Nat. Bur. Stand. A, 75, 205, 1971. 26. W.F.K. Wynne-Jones and L.J. Hudleston, J. Chem. Soc., 125, 1031, 1924. 27. J. Emsley, Very strong hydrogen bonding, Chem. Soc. Rev., 9, 91 – 119, 1980. 28. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and K. Suma, Control factor of native oxide growth on silicon in air or in ultrapure water, Appl. Phys. Lett., 55, 562 – 564, 1989. 29. H. Kikuyama, M. Waki, M. Miyashita, T. Yabune, N. Miki, J. Takano, and T. Ohmi A study of the dissociation state and SiO2 etching reaction for HF solutions of extremely low concentration, J. Electrochem. Soc., 141, 366, 1994. 30. J.K. Vondeling, Fluoride-based etchants for quartz, J. Materials Sci., 18, 304 – 314, 1983. 31. W.F. Linke, Am. Chem. Soc., 697, 1965. 32. N. Miki, M. Maeno, and T. Ohmi, Conductivity and dissociation equilibrium of extremely anhydrous hydrogen fluoride, J. Electrochem. Soc., 137, 1990. 33. T. Yabune, H. Kikuyama, M. Miyashita, and T. Ohmi, BPSG etching for semiconductor manufacturing, in: Extended Abstracts of 196th Meeting of Electro-chemical Society, Hawai, 1999. 34. T. Ohmi, Ultra clean ULSI technology, Advanced Electronics I-15, Baifukan, 1995. 35. K. Izutsu, Electrochemical of Non-aqueous Solution, 1st ed., Baifukan, 1995. 36. T. Kezuka, in: Extended Abstracts of 196th Meeting of Electro-chemical Society, Hawai, 1999.
Etching of Various SiO2
185
III. CONTACT CLEANING TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION Saturation drain current of metal-oxide-semiconductor field transistor MOSFET, a basic component of ULSI, is expressed as: 1 W 1ox IDsat ¼ meff (VG VT VS )2 2 L Tox
(4:24)
where IDsat, saturation drain current; meff, effective mobility in channel; W, channel width; L, channel length; 1ox, dielectric constant of gate oxide; Tox, thickness of gate oxide; VG, gate voltage; VT, threshold voltage; VS, source voltage. In order to improve the current driving capability, ULSI density needs to be increased and ULSI manufacturing process requires constant improvement. Among the above-listed parameters, W, L, and Tox are determined by the size of ULSI device. Effective mobility (meff) depends on microroughness of Si –SiO2 interface. As the microroughness in the channel region increases in cleaning process, effective mobility gets lowered and eventually transistor characteristics are deteriorated. Threshold voltage (VT) is adjusted by device characteristics and circuit configuration. Figure 4.31 shows a schematic diagram of n-channel MOSFET. In general, source voltage is grounded and voltage is applied to gate voltage and drain voltage. Ideally, therefore, Equation (4.24) should be as follows: 1 W 1ox (VG VT )2 IDsat ¼ meff 2 L Tox
VD
(4:25)
VG Metal
n+-Poly
RS
RC n+
n+
P-Well
Metal
FIGURE 4.31 Schematic diagram of n-channel MOSFET.
VS
186
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
VG is applied to gate electrode as it is because little current flows through gate, but IDsat flows to source. Then, the source voltage no longer remains at 0 V, because of the resistance of nþ diffusion layer in source and contact resistance of metal, and becomes VS ¼ IDsat (RS þ RC )
(4:26)
When source potential goes up, current driving capability of MOSFET will decrease as much as shown in Equation (4.24). As a result, transconductance of MOSFET is expressed as: gm ¼
gmi 1 þ RS gmi
(4:27)
where gm, observed transconductance; gmi, intrinsic transconductance. Therefore, reducing the resistance of resistors aligned in series in source electrode is one of the most important issues in improving MOSFET performance [1]. Resistance of metal and diffusion layer greatly depends on native oxide growth before metallization as well as concentration of dopants such as arsenic and boron on surface of the diffusion layer. It is extremely important in etching and cleaning of contact hole, therefore, to prevent external diffusion of arsenic and boron, not to etch Si surface, not to allow native oxide to grow, and to maintain constant etch rate at side wall of contact hole. It is also critical to effectively clean the bottom of contact hole fabricated in multi-layer stack structure. Removal of native oxide grown on the bottom of contact hole often leads to excessive etching of its sidewall, which makes it difficult to maintain the dimensions of minute contact hole. It becomes important to precisely control etching selectivity among a variety of dielectric films such as Si thermal oxide, SiO2 deposited with the chemical vaper deposition (CVD) method, tetraethylorthosilicate (TEOS) film and those dielectric films with boron or phosphorus doped: borosilicate glass (BSG) film, phosphosilicate glass (PSG) film, and borophosphosilicate glass (BPSG) film.
B. CURRENT CONTACT HOLE CLEANING In ULSI device manufacturing process, wet process is often used for cleaning. Along with introduction of higher-density ULSI devices, dry process or dry etching process has been increasingly adopted, and the cleaning step after dry etching in turn has grown in importance. As the density of ULSI device increases, profile of memory cell in dynamic random access memory (DRAM) increases its complexity, and accordingly device manufacturers have introduced various ILD materials. Currently thermal oxide, TEOS oxide, SiO2 deposited with the CVD method, P-doped CVD-PSG film, and B-doped CVD-BSG film are commonly used as silicon oxides. TEOS film and BPSG film are often used for sidewall of contact hole as these films feature good step coverage to enhance surface planarity, which improves outcome of subsequent lithography process. CVDBPSG film and TEOS film particularly feature outstanding reflow characteristics. The authors have studied contact hole cleaning step where wet process is often used, taking a stack of BPSG film and TEOS film as an example. In the past, sidewall of contact hole was made of single type of silicon oxide. As aspect ratio of contact hole increases along with ULSI device shrink, however, sidewall is currently composed of multiple types of silicon oxide. Figure 4.32 shows cross-section of contact hole right after dry etching process. Contact hole is patterned with a photoresist. For a 64 M DRAM device, contact hole of 0.25– 0.35 mm diameter is fabricated in dry etching process. Figure 4.32 shows a typical contact hole profile with TEOS sidewall deposited with plasma enhanced (PE)-CVD process and BPSG film deposited with CVD process. In the dry etching process of contact hole fabrication, residual photoresist remains on the wafer surface. Usually dry etching process is followed by oxygen plasma
Etching of Various SiO2
187 Deposits
Resist Removing Ashing SPM Resist etc. BPSG P-TEOS
Growth of Chemical (Native) Oxide BPSG P-TEOS Si Substrate
Si Substrate Just After Dry Etching
FIGURE 4.32 Schematic diagram of cross-section of contact hole after dry etching.
ashing or SPM (H2SO4/H2O2/H2O) cleaning to remove the residual photoresist. Either plasma ashing or chemical cleaning, however, allows native or chemical oxide of 10 –20 A˚ in thickness to grow on the underlying Si substrate. In order to achieve good electrical contact in subsequent interconnection process, the native oxide and chemical oxide must be thoroughly removed. Traditionally, HF or BHF was used to remove the native oxide. These chemical solutions, however, deteriorate the surface profile as shown in Figure 4.33. The following three requirements need to be satisfied to clean a fine and complex surface profile such as the contact hole. 1. Low etch rate: Etching amount needs to be perfectly controlled as the contact hole must be fabricated in a way to precisely meet the dimensional specifications. 2. Maintain the same etch rate for every insulator films: Etching amount must be kept constant for all types of films which constitute ILD. (Etching amount of sidewall must be kept constant.) 3. Keep the underlying substrate undamaged: Surface microroughness of Si substrate must not be raised preventing external diffusion of arsenic and boron. Figure 4.33 shows surface profile when the traditional chemical composition is adopted: D-HF (0.5% HF) solution or 20:1 BHF (2.38% HF:38.1% NH4F) solution. The conventional DHF and BHF cleanings deteriorate sidewall profile of contact hole, which causes problems in subsequent process steps. They also over-etch the contact hole, and therefore they cannot meet the specific needs of future technology nodes. Deterioration of contact hole profile described above is attributed to the difference in etch rate shown in Figure 4.34 and Figure 4.35. (Refer to Section II.C for the relationship between etch rate of BPSG film and composition of BHF.) Figure 4.34 shows etch rate on various SiO2 as a function of HF concentration whereas Figure 4.35 shows the etch rate on various SiO2 as a function of
Growth of Chemical (Native) Oxide
Diluted HF BPSG P-TEOS
BPSG P-TEOS Si Substrate BHF
BPSG P-TEOS
FIGURE 4.33 Cross-sectional photograph of SEM observation of contact hole cleaned with DHF and BHF.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
188
FIGURE 4.34 Etch rate of BPSG film, TEOS film, and thermal SiO2 film as a function of HF concentration.
NH4F concentration in BHF with 0.5% HF. It is learned from Figure 4.34 that the BPSG film is most dependent on HF concentration. Figure 4.35 indicates that TEOS film is most dependent on NH4F concentration. Figure 4.34 and Figure 4.35 suggest ionic species to dominate etching which might be different between the two films.
C. OPTIMUM CHEMICAL COMPOSITION
FOR
CONTACT HOLE CLEANING
Wet-cleaning step to remove native or chemical oxide on the bottom of the contact hole whose sidewall is composed of multiple dielectric films (BPSG film and TEOS film) must satisfy the following requirements: . .
Fabricate contact hole in a way to precisely meet the dimensional specifications. Do not change sidewall profile. 300 Thermal
BPSG Plasma-TEOS
Etch Rate (Å/min)
250
200 High NH4F BHF composition
150
100
50 0 15
Low NH4F BHF composition
20
Conventional BHF composition 25
30 35 NH4F Concentration (wt%) (0.5% HF Constant, at 20°C)
40
45
FIGURE 4.35 Etch rate of BPSG film, TEOS film, and thermal SiO2 film as a function of NH4F concentration (0.5% HF constant).
Etching of Various SiO2
189
This means that the chemical solution should meet the following requirements. . .
.
˚ /min. Decrease etch rate to 10 A Keep etching selectivity between BPSG film and TEOS film of sidewall at 1 (nonselective etching). Reveal etching mechanism of each dielectric film and select appropriate ionic species accordingly.
In etching BPSG film, for example, it is important to reduce the concentration of nondissociated (neutral) HF to eliminate etching reaction on BPSG film because of nondissociated (neutral) HF. Etch rate can be lowered by reducing HF concentration in BHF solution. To maintain a selectivity of 1, it is necessary to limit the ionic species in the chemical solution to HF2 2 ions as much as possible. In the case of BHF, HF concentration is lowered and NH4F concentration is raised to increase F2 concentration in the solution, which decreases the concentration of nondissociated (neutral) HF (Figure 4.36). Table 4.7 shows measured etch rate on BPSG film and TEOS film in BHF with high NH4F concentration and low HF concentration. Table 4.7 indicates that raising NH4F concentration is an effective method to maintain the etch rate of BPSG film, TEOS film and thermal SiO2 film are equal. This is considered because HF2 2 ion becomes a single dominant ion of etching reaction in BHF with high NH4F concentration as concentration of nondissociated HF decreases and HF2 2 concentration increases in this solution. Etch rate of all dielectric films becomes identical as they are etched only by HF2 2 ion. In order to effectively clean the contact hole, it is critical therefore: . .
to adjust ionic species in BHF solution in a way to raise HF2 2 concentration, and to reduce concentration of nondissociated HF in the solution.
Table 4.7 indicates that a BHF solution with high NH4F concentration is effective in achieving selectivity of 1 between TEOS film and BPSG film. Figure 4.37 shows a contact hole profile after removing native or chemical oxide on its bottom with BHF with high NH4F concentration. Etch rate is found to be kept constant throughout the etching process, and there is no step and no bowing on sidewall surface structure with TEOS film and BPSG film. AFM images demonstrate that surfactant injection is effective in suppressing the increase of microroughness of bare Si surface on the bottom of contact hole [2 –6]. Figure 4.38 shows the surface microroughness of wafer treated with various chemical solutions. In this experiment, three chemical solutions were compared with each other: (1) 130 BHF (HF: 1.6% and
1.Low Etch Rate for Interlevel Insulator Films (Control of Extending of Hole Size) Low HF Conc. Neutral HF decrease 2.Same etch Rate for Several Insulator Films (Control of Wall Profile of Hole) High NH4F Conc. HF2-increase
Dominant Chemical Species of Etch Reaction in Solution is only HF2− Ion.
FIGURE 4.36 Conditions to keep etching selectivity between BPSG film and thermal SiO2 film at 1.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
190
TABLE 4.7 Measurement Result of Etch Rate of BHF with High NH4F Concentration of BPSG Film and TEOS Film BHF Composition HF Conc. NH4F Conc. (%) (%) 0.06 0.07 0.13 0.17 0.23 0.50
40.0 40.5 40.0 41.0 40.0 38.0
TEOS ˚ /min) (A
BPSG ˚ /min) (A
Selectivity TEOS/BPSG
34.0 30.6 42.2 53.6 77.0 182.0
34.0 31.3 45.6 46.1 57.0 109.0
1.00 0.98 0.93 1.16 1.35 1.67
NH4F: 38.7%) with no surfactant added, (2) LL130 BHF (HF: 1.6% and NH4F: 38.7%) with surfactant added, and (3) HALBHF with high NH4F concentration (HF: 0.23% and NH4F: 40.0%) with surfactant added. A Cz p-type wafer featuring resistance of 6 –20 V was immersed in each solution for 10 min before its surface microroughness was measured. An area of 1 mm2 on the wafer was measured with AFM. Initial surface microroughness of the wafer was maintained when the wafer was treated with LL130 BHF and HALBHF both of which have surfactant spiked. This is considered because surfactant gets adsorbed onto Si surface to protect it. Surface microroughness is found to increase when the surfactant is injected in small amount. Surface microroughness increase is suppressed when the surfactant is spiked in optimum amount [7]. It is reported that increase of surface microroughness adversely affects electrical characteristics of ULSI device [5], and therefore microroughness of wafer surface must not be increased. Surfactant injection is essential to prevent surface microroughness of the bottom of contact hole from increasing. The authors conducted an experiment to study how etch rate on BPSG film and TEOS film is affected along with change in composition of HALBHF over a certain period of time. In this experiment, HALBHF was kept open to the air, and was sampled from time to time to etch a contact hole with diameter of 0.8 mm composed of BPSG film and TEOS film. Then, cross-sectional profile of the etched contact hole was observed with SEM. Figure 4.39 shows the experimental results. In the first 7 days, sidewall profile of the contact hole etched with HALBHF was almost the same as that etched on the first day. Sidewall profile looked deteriorated when the contact hole
Growth of Chemical (Native) Oxide BPSG P-TEOS
BPSG P-TEOS
Si Substrate
Using High NH4F Composition BHF •(Control of Extending of Hole Size) •(Control of Wall Profile of Hole) •(Perfectly Remove Chemical Oxide)
FIGURE 4.37 Cross-sectional photograph of SEM observation of contact hole cleaned with optimum chemical composition having high NH4F concentration.
Etching of Various SiO2
191
HAL(Ra:0.137nm)
BL(Ra:0.169nm)
130BHF(Ra:0.494nm)
LL130BHF(Ra:0.203nm)
FIGURE 4.38 AFM images after BHF treatment. BHF with surfactant: LL130 BHF and HALBHF. BHG without surfactant: 130 BHF.
was etched with HALBHF and left in a room for 14 days. Specifically bowing was detected on the sidewall. This is considered because etch rate on lower-level TEOS film gets higher. Sidewall with bowing may lead to void generation in subsequent interconnection process. BHF solution with the conventional composition needs to be replaced every day or two. Although some deterioration was detected, HALBHF is found to be used for 7 days without being replaced.
D. CONCLUSION Section III.B discussed cleaning of contact hole fabricated on multilevel stacking structure. It becomes possible to control ion concentration in chemical solution by adjusting BHF composition. It is found that etching-dominant ion of BPSG film is not only HF2 2 but nondissociated HF. 0.8 µm contact Hole (BPSG/LP-TEOS/Si Sub.)
Initial
1 Day
7 Days
14 Days
Evaporation Time (Day, at 23°C) Etching Condition:without Stirring, at 23°C
FIGURE 4.39 Change in HALBHF composition and contact hole profile observed with SEM.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
192
This means that BHF needs to be composed with extremely low HF solution and high NH4F concentration (HALBHF) in order to effectively clean the contact hole built with BPSG film and TEOS film. HALBHF the keeps etch rate on the target films sufficiently low by considerably lowering HF concentration, and it achieves etching selectivity of 1 by increasing NH4F concentration. And as addition of optimum surfactant at optimum amount, surface microroughness increase is suppressed drastically. HALBHF enables us to perform perfect contact hole cleaning without damaging diameter or sidewall profile of contact hole.
REFERENCES 1. A.S. Grove, Physics and Technology of Semiconductor Devices, John Wiley and Sons, New York, 1967. 2. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Surface active buffered hydrogen fluoride having excellent wettability for ULSI processing, IEEE Trans. Semicond. Manuf., 3, 1990. 3. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Principles of wet chemical processing in ULSI microfabrication, IEEE Trans Semicond. Manuf., 4, 26 – 35, 1991. 4. M. Miyashita, T. Ohmi, T. Yabune, and H. Kikuyama, Perfect controlled etching for wall of contact hole, in: Extended Abstracts of 196th Meeting of Electrochemical Society, Hawai, 1999. 5. T. Ohmi, M. Miyashita, M. Itano, T. Imaoka, and I. Kawanabe, Dependence of thin-oxide films quality on surface microroughness, IEEE Trans. Electron Dev., 39, 537 – 545, 1992. 6. T. Yabune, H. Kikuyama, M. Miyashita, and T. Ohmi, BPSG etching for semiconductor manufacturing, in: Extended Abstracts of 196th Meeting of Electrochemical Society, Hawai, 1999. 7. M. Miyashita, T. Tsuga, K. Makihara, and T. Ohmi, Dependence of surface microroughness of CZ, FZ, and EPI wafers on wet chemical processing, J. Electrochem. Soc., 139, 1992.
IV. BHF WITH EXTREMELY HIGH ETCH RATE APPLICABLE TO SINGLE-W PROCESSING TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION As the wafer diameter gets larger, it becomes increasingly difficult for the conventional batch-type wet process to achieve perfect uniformity in etching across the wafer. Etching time lag generated between upper and lower portions of the wafer when it is immersed into and withdrawn from the etching bath, for instance, gets bigger and bigger as wafer diameter increases. In UPW rinsing step, the pitch of wafer cassette needs to be widened to improve the rinsing efficiency with UPW displacement on surfaces of large-diameter wafers aligned in the cassette. Such modification will be essential to effectively remove the carried-over chemicals from wafer surface and let them diffuse quickly. Megasonic irradiation is one of the means to increase rinsing efficiency. Introduction of single-wafer processing has been accelerated in wet etching of some 200 mm wafers as well as of 300 mm wafers. Figure 4.40 compares uniformity of the entire wafer surface between batch-type etching and single-wafer spin etching. When BHF with low NH4F concentration is used, as indicated in Figure 4.40, uniformity of entire wafer surface of the single-wafer spin etching is improved over that of the batch-type etching by the factor of 10 [1]. The traditional batch processing is advantageous in terms of throughput as it can treat a number of wafers at once. In order to introduce single-wafer processing to wet-etching step, therefore, it is
Etching of Various SiO2
193
Standard Deviation of Etched Depth (%)
7 Etching Temp.: 25°C 6
Etching Time: 1 min Transportation: 10 sec
5
UPW Rinse: 10 min N2 Blow Dry Up
4 3
Batch process 10:1BHF
2
Low NH4F Conc. BHF with Surfactance
1 Spin Process 0 2
4
6
8
10
12
14
16
Wafer Size (inch)
FIGURE 4.40 Uniformity of entire wafer surface as a function of etching method and wafer size.
necessary to find a way to sufficiently increase throughput of the single-wafer processing. To address this problem, a new etchant featuring extremely high etch rate should be developed. To be more specific, the new etchant must feature an etch rate of 1 mm/min or more on thermal SiO2 film in order for the single-wafer wet-etching step to achieve the same throughput as the conventional single-wafer dry etching step. In BHF, F2 ion fed mainly by NH4F reacts with nondissociated HF to generate HF2 2 , a dominant ion to perform etching. When NH4F concentration is more than equimolar to HF concentration in BHF, sufficient amount of F2 ion for generating HF2 2 is supplied. When NH4F concentration gets excessively high against to HF concentration, the etch rate will no longer depend on NH4F concentration; it starts declining, rather than increasing, as NH4F concentration further increases. This is þ considered because when NH4F concentration gets excessively high, the mobility of HF2 2 , H , and reaction products in solution drops due to increase of solution viscosity. Figure 4.41 shows etch rate as a function of concentration of NH4F, KF, and CsF when HF concentration is kept constant at 1.25 mol/kg. KF and CsF are stronger electrolyte than NH4F.
1200
Etch Rate (Å/min, at 25°C)
HF2− Ion /conc is Max at 2.5mol/l 1000
800
HF Conc.: 2.5 mol/l
600
NH4F KF
400
CsF 200
0
2
4
6
8
NH4F, KF, CsF Conc. (mol/l)
FIGURE 4.41 Etch rate of thermal SiO2 film in KF/CsF/NH4F 2 2HF solution at 258C.
10
194
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
And, Kþ ion and Csþ ion have higher ion activity coefficient than NHþ 4 ion. In this experiment, test pieces made by cutting a wafer with thermal SiO2 film were etched into each solution whose temperature was controlled constant at 258C. Etch rate was calculated by measuring the etching time and difference in the film thickness between before and after the etching. Oxide thickness was measured with optical film-thickness meter (Nanospec/AFT, M210VT/LCW). Figure 4.41 indicates that once KF or CsF concentration exceeds a certain level, the etch rate starts dropping sharply with increase of KF or CsF concentration. In NH4F 22HF system, the etch rate drops at high NH4F concentration but which is milder than that in KF/CsF-HF systems. The authors etched the thermal SiO2 film with etchants whose composition was adjusted in such a way that the etch rate dropped. When SiO2 film surface was observed with optical microscope after the etching step, some precipitates were detected, and the precipitated crystals were found to feature the same crystalline form as compounds of K/Cs, Si, and F. Also the oxide surface after the etching step was measured with contact-type surface profiler. The measurement revealed that the portions with crystals precipitated on SiO2 film surface were convex in shape. It is speculated that concentration of etching reaction products such as K2SiF6 and Cs2SiF6 increases locally during the etching, and that accordingly these reaction products get precipitated, because of their low solubility, on SiO2 film surface, which hinders etching reaction from proceeding. On the basis of these findings, the authors have decided to select the conventional HF22NH4F solution and focus our efforts to explore ideal composition to achieve high etch rate. The authors performed an experiment to find out composition of HF22NH4F solution to achieve an etch rate of 1 mm/min on thermal SiO2 film. Figure 4.42 shows the measured etch rate of thermal SiO2 film in BHF with its composition varied. Etching temperature was fixed at 458C. Figure 4.43 shows the pH value of BHF measured with pH indicator paper at room temperature. In order to achieve an etch rate of 1 mm/min or more, it is found necessary to increase the HF concentration in BHF to 7.5 mol/kg or higher even when the temperature at 458C. In actual ULSI manufacturing process, etching is often performed on wafer coated with the photoresist. The resist, therefore, should be resistant to etchant. To protect the resist from the etchant, it is necessary to make etchant as neutral as possible (pH value to 7). To make BHF neutral, HF concentration must be reduced as much as possible.
FIGURE 4.42 BHF composition and etch rate of thermal SiO2 film at 458C.
Etching of Various SiO2 40
pH Check at R.T. (using pH Test Paper) pH = 4.0 pH = 3.6 pH = 2.4 3.8
35 NH4F Conc. (%)
195
30
pH = 1.8 25
3.6
3.4
3.2
2.4
20 2.4
1.8
pH = 1.0
pH = 0.4
1.2
1.8
Equivalent mol ratio line
pH = 0.8 pH = 0.6
15 1.8 50% HF– 40% NH4F mixing composition line
10 1.0 5 5
10
15
20
25
30
HF Conc. (%)
FIGURE 4.43 Relationship between pH value and BHF with its composition varied.
BHF needs to meet the three requirements: to achieve etch rate of 1 mm/min on thermal SiO2 film, to decrease HF concentration as much as possible, and to feature pH value as close as to 7. Potential compositions of BHF include: Etching temperature of 358C: HF concentration of 10.65 mol/kg, and NH4F concentration of 6.49 mol/kg. Etching temperature of 458C: HF concentration of 7.54 mol/kg, and NH4F concentration of 7.54 mol/kg. By referring to Figure 4.43, the pH value of compositions (1) and (2) are interpolated for BHF of room temperature. It is found that pH value of composition (1) is 1 or less and pH value of composition (2) is about 2.4. For BHF with equimolar composition (e.g., in composition (2) both HF and NH4F are 7.54 mol/kg in concentration, pH 2.4), etch rate of thermal SiO2 film is 3343, 6335, and ˚ /min at solution temperature of 25, 35, and 458C, respectively [2]. In an attempt to 10,079 A further raise etch rate, the authors tried to inject Hþ ion to BHF with equimolar composition.
B. ETCHANT TO CONTROL HF DISSOCIATION TO ACHIEVE EXTREMELY HIGH ETCH RATE (H1 ION INJECTION TO BHF SOLUTION) It is known that HF2 2 is a dominant ion in etching thermal SiO2 film in BHF solution. In this 2 solution, HF2 ion generated by 2 is generated by acid dissociation reaction between HF and F NH4F dissociation. In general, BHF does not feature equimolar HF – NH4F composition but is composed of excess NH4F concentration. BHF, therefore, contains excess F2 ions which do not contribute to HF2 2 generation. In order to raise the etch rate on SiO2 film, the authors decided to make an effective use of the excess F2 ions in BHF to generate HF2 2 . In order to effectively use þ the excessive F2 ions to generate nondissociated HF and HF2 2 ion, H ion, which reacts with 2 the excessive F ion, should be injected to BHF solution. When injecting Hþ ion, it is necessary to carefully select an acid which is capable of suppressing acid dissociation reaction of HF so as to generate nondissociated HF. For this purpose, buffer action on Hþ needs to be used in acid dissociation reaction of HF. Acid dissociation constant of HF
196
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
(K1) has reported many values. Because the value of K1 is as small as 1.30 1023 which is one of the reported values, injection of an acid featuring higher acid dissociation constant than 1.30 1023 would induce buffer action to generate HF and HF2 2 ion [2]. In other words, by injecting an acid featuring higher acid dissociation constant (Ka) than HF as a source of Hþ ion, it will become possible to reduce excessive F2 ion in BHF solution and to increase HF and HF2 2 ion, both of which contribute to the etching reaction. In BHF solution, F2 ion is a main anion species. By selecting a suitable counter-anion of acid to be added, therefore, it is considered possible to transfer characteristics of the anion species to BHF solution. Figure 4.44 shows dissociation reaction equation of HF in BHF solution. It also describes what happens when acid featuring higher acid dissociation constant (Ka) than HF is injected to BHF solution. When an acid featuring higher acid dissociation constant (Ka) than HF (expressed as HX in Figure 4.44) is added to BHF solution, nondissociated HF is generated, due to existence of Hþ ion supplied by HX, in accordance with acid dissociation reaction equation of HF. The generated 2 nondissociated HF, then, reacts with F2 ion to generate HF2 2 ion and eventually concentration of F ion can decrease [3 –16]. Figure 4.45 shows the etch rate on thermal oxide as a function of concentration of Hþ ion. In this experiment, Hþ ion was injected to BHF with equimolar composition, that is, HF and NH4F concentration in BHF was varied to 1, 2, and 3 mol/kg. In Figure 4.45, Hþ concentration achieving the maximum etch rate is plotted for each of the three BHF solutions. Figure 4.45 indicates that each rate on thermal oxide reaches its maximum when Hþ ion with a half level of concentration of HF or NH4F is added to BHF with equimolar composition. For BHF solution composed of HF and NH4F, as described earlier, it has been found that the highest etch rate on thermal SiO2 film is achieved when BHF features equimolar composition, HF and NH4F concentration of 7.54 mol/kg. The authors studied whether it was possible to further raise the etch rate by partially replacing HF with HCl in this BHF solution. As a preliminary experiment, HF of 3 mol/kg was partially replaced by HCl in BHF solution. Figure 4.46 shows NH4F concentration dependence of etch rate when thermal SiO2 film is etched with the BHF solution. Horizontal axis shows NH4F concentration. Total acid concentration, expressed as a sum of HF concentration and HCl concentration, is kept constant at 3 mol/kg. Vertical axis shows the etch rate as the mixing ratio of HF and HCl is varied. When NH4F concentration is 3 mol/kg, the BHF solution features equimolar composition, that is, either NH4F concentration or total acid concentration is 3 mol/kg. At NH4F concentration of 3 mol/kg, the highest etch rate is achieved when molar concentration ratio between HF and HCl is 2:1. As for BHF solution with HF concentration of 3 mol/kg, etch rate hits its maximum when NH4F concentration is raised to 3 mol/kg. As for BHF solution with HCl concentration of 3 mol/kg, etch rate hits its maximum when NH4F concentration is twice as high as HCl concentration. These findings are attributed to the difference in amount of F2 ion required to generate
FIGURE 4.44 Dissociation reaction of HF in BHF solution and dissociation reaction of acid featuring higher acid dissociation constant (Ka) than HF.
Etching of Various SiO2
197
FIGURE 4.45 Relationship between maximum etch rate of thermal SiO2 film and corresponding concentration of Hþ injected to BHF with equimolar composition. HF and NH4F concentration in BHF was varied to 1, 2, and 3 mol/kg.
sufficient HF2 2 ion which is a dominant ion to determine the etching reaction of thermal SiO2 film. 2 To be more specific, HF generates sufficient HF2 2 ion when equivalent amount of F ion is supplied. þ 2 On the other hand, H ion created by HCl can generate sufficient HF2 ion only when F2 ion of twice as much as Hþ ion is supplied. On the basis of the above-mentioned preliminary experimental results, the authors explored a way to further improve the etch rate of BHF solution composed of HF and NH4F. As described earlier, the BHF solution needs to feature equimolar composition with HF and NH4F concentration of 7.54 mol/kg in order to achieve the highest etch rate while maintaining BHF resistance of photoresist. In an experiment, HCl replaced one-third of the HF (mixing ratio of HF and HCl is 2:1), and its impact on the etch rate was measured. Figure 4.47 and Figure 4.48 show the experimental results. The authors also tested what would happen when HF was partially replaced by HBr
FIGURE 4.46 NH4F concentration dependence of etch rate in BHF solution in which HF of 3 mol/kg is partially replaced by HCl.
198
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
FIGURE 4.47 Etch rate at etching temperature of 258C as a function of HF– HCl (or HBr) mixing ratio when HF in BHF with equimolar composition (7.54 mol/kg) is partially replaced with HCl (or HBr).
FIGURE 4.48 Etch rate at etching temperature of 458C as a function of HF– HCl (or HBr) mixing ratio when HF in BHF with equimolar composition (7.54 mol/kg) is partially replaced with HCl (or HBr).
Etching of Various SiO2
199
instead of HCl. HBr features higher acid dissociation constant (Ka ¼ 109) than HCl (Ka ¼ 108). Figure 4.47 and Figure 4.48 also show this result for lower and higher etch-rate ranges. At the etching temperature of 258C, the etch rate of thermal SiO2 film is found to hit its ˚ /min) when the mixing ratio of HF and HCl is set at 2:1. At 458C, the maximum (5161 A ˚ /min) is achieved when the mixing ratio is 1.857:1. When HBr is maximum etch rate (14,753 A used instead of HCl, a HF –HBr mixing ratio of 11:1 is found to bring up the etch rate to its maximum. The experimental results for HBr, however, must be regarded just as a reference because measured composition ranges were limited. The authors speculate that the difference in mixing ratio between HF –HCl system and HF – HBr system is attributed to difference in acid dissociation constant and anion species between HCl and HBr [3 – 16].
C. CONCLUSION One of the problems in introducing high-volume production using larger-diameter wafer is how to realize single-wafer wet-etching process. Potential drop of throughput is a major concern in replacing the conventional batch processing with single-wafer processing. Taking thermal SiO2 film etching as an example, the authors studied a new etchant composition to realize an etch rate of 1 mm/min in order to achieve a throughput equivalent to the conventional dry etching process. For BHF solution with equimolar HF – NH4F composition, the highest etch rate is achieved when HF – NH4F concentration is set at 7.54 mol/kg. It has been found that the etch rate of the BHF solution is further raised by partially replacing HF with an acid featuring higher acid dissociation constant than HF, such as HCl and HBr. At an etching temperature of 258C, the etch rate of BHF solution with equimolar HF – NH4F ˚ /min. When one-third of HF in this BHF is replaced with composition (7.54 mol/kg) is 3343 A ˚ /min. At an etching temperature of HCl, the etch rate is improved by about 1.5 times to 5161 A ˚ /min (before HF is replaced) to 458C, the etch rate is also improved by 1.5 times, from 10,079 A ˚ 14,753 A/min (after 1/2.86 of HF is replaced with HCl). As described earlier, HF – HCl mixing ratio to realize the maximum etch rate is different between 25 and 458C: HF:HCl ¼ 2:1 at 258C and HF:HCl ¼ 1.86:1 at 458C. This is considered because the concentration of HF and HF2 2 ion generated from HF and NH4F increases along with the rise in etching temperature and accordingly the concentration of excessive F2 ion in BHF decreases. This study to explore optimum BHF composition for achieving the maximum etch rate focused just on thermal SiO2 film. Another set of studies, therefore, must be performed for other films formed in actual ULSI manufacturing process, such as BPSG and other films grown in the CVD process. On the other films, higher etch rate is expected to be achieved than on thermal SiO2 film. By adopting an etchant to achieve extremely high etch rate, it will become possible to overcome the major drawback of single-wafer processing, namely drop of throughput. The new technology is expected to facilitate introduction of single-wafer processing to the wet process.
REFERENCES 1. M. Miyashita, T. Yabune, H. Kikuyama, and T. Ohmi, Precise wet etching for large-sized wafer, in: Proceedings of the 9th International Conference on Production Engineering, pp. 501 – 506, 1999. 2. R.E. Mesmer and C.F. Baes Jr., Inorg. Chem., 8, 618, 1969. 3. J.S. Judge, J. Electrochem. Soc., 118, 1772, 1971. 4. H. Seifert, R. Buhl, and K.F. Seifert, Koloid Zeitschrift, 141, 146, 1955. 5. W. Hertl and M.L. Hair, J. Phys. Chem., 75, 2181, 1971. 6. P. Sennett and J.P. Olivier, I & EC, 57, 33, 1965. 7. H.S. Fogler, K. Lund, and C.C. McCune, Chem. Eng. Sci., 30, 1325, 1975. 8. H.H. Born and M. Prigogine, J. Chem. Phys., 76, 538, 1979. 9. M. Prigogine and J.J. Fripiat, J. Chem. Phys., 76, 26, 1979.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
200 10. 11. 12. 13.
W.E. Kline and H.S. Fogler, J. Colloid Interface Sci., 82, 103, 1981. W.E. Kline, Ph.D. Thesis, University of Michigan, 1980. W.E. Kline and H.S. Fogler, Ind. Eng. Chem. Fundam., 20, 155, 1981. R.K. Iler, The Chemistry of Silica: Solubility, Polymerization, Colloid and Surface Properties and Biochemistry of Silica. Wiley, New York, 1979. 14. G.W. Trucks, K. Raghvachari, G.S. Higashi, and Y.J. Chabal, Phys. Rev. Lett., 65, 504, 1990. 15. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, IEEE Trans. Semicond. Manuf., 4, 26– 35, 1991. 16. H. Nielsen and D. Hackleman, J. Electrochem. Soc., 130, 708, 1983.
V. SURFACTANT FUNCTIONS REQUIRED FOR ETCHANTS AND CLEANING SOLUTIONS TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. CONDITIONS
FOR
SURFACTANT SELECTION
BHF is an important surface-treating solution capable of dissolving SiO2 film. Along with increase of device density and aspect ratio, chemical functions of BHF, realized by optimizing concentration of its components, namely HF and NH4F, become no longer able to achieve expected cleaning or etching performance. But BHF has poor wettability on bare Si surface and photoresist surface. In order for BHF to uniformly permeate into fine gaps of photoresist pattern and perform precise surface treatment, it is extremely important and essential to improve physical functions between BHF and solid surface. In other words, it is necessary to add surfactant for confering characteristic surface active functions to BHF. The authors studied surface-activating performance required for wet etching and cleaning, and investigated which surfactants could aid this performance to BHF. Few types of surfactant can characterize BHF with surface-active properties when being added to BHF. What is important is the surfactant: 1. 2. 3. 4. 5.
Does not react with BHF Does not get decomposed but remains stable in BHF Features optimum solubility in BHF Is hydrophilic on wafer surface Features sufficiently low contact angle at its critical micelle concentration (CMC)
As noted in (a), surfactant must not react with BHF because BHF will lose etching capability if it reacts with the surfactant. As shown in (b), surfactant must not get decomposed or be unstable in BHF. It is also necessary to select surfactants that remain stable in the filtration process as BHF is kept being circulated through a filter with pore size of 0.1 or 0.2 mm in etching process. Roughly speaking, there are two types of surfactant: fluorine-type surfactant and hydrocarbontype surfactant. Moreover surfactants can be nonionic, anionic, cationic, or amphoteric. Several attempts have been made to improve the wettability of BHF by addition fluorocarbon surfactants, but the wettability on the bare Si surface and photoresist surface could not de improved. Figure 4.49 shows relationship of contact angle and free energy for a gas – liquid – solid, system. Wettability of BHF is revealed by contact angle which relates the free energy of liquid – solid interface, because
Etching of Various SiO2
201
Gas (Air)
γi Liquid (BHF)
θ γs
γl Solid (Si wafer surface)
FIGURE 4.49 Contact angle of gas – liquid– solid system.
the contact angle (u) is related to the free-surface energies through the Young Equation (4.28).
gs ¼ gl cos (u) þ gi
(4:28)
Contact angle on Si surface (deg)
where gs, gl, and gi are free energy of solid surface, liquid surface, and solid – liquid interface, respectively. In order to improve the wettability of BHF on the wafer surface, it is necessary to reduce the free energy of the solid – liquid interface, resulting in a reduction of contact angle. It was speculated in the past that surface tension was reduced when a surfactant was added to BHF, which eventually enhanced the wettability of BHF. On the basis of this speculation, fluorinetype surfactant was often used in order to lower the surface tension of BHF. Compared with hydrocarbon-type surfactant, the fluorine-type surfactant, though effectively reduces surface tension, features poor wettability on solid surfaces hence does not reduce contact angle [1]. It is not always appropriate to evaluate the wettability by surface tension related to the free energy of liquid – gas interface. Figure 4.50 and Figure 4.51 show contact angle as a function of surface tension of BHF (6% HF/30% NH4F) with various surfactants added: fluorine-type surfactants in Figure 4.50 and hydrocarbon-type surfactants in Figure 4.51. The arrows in the two figures indicate change in contact
80 60
Fluorocarbon surfactants 2 8 3,7 1 469 5
40 20 0 0
Before filtration After filtration 20
40
60
80
Surface tension (mN/m) 1. Perfluoro alkyl trimethyl ammonium salt, 2. Perfluoro alkyl trimethyl ammonium iodide, 3. Perfluoro alkyl trimethyl ammonium salt, 4. RfC2H4SC2H4 (CH3)3CH3SO4, 5. RfSO2NRC2H4N+ (CH3)3l−, 6−9. Perfluoro alkyl betain.
FIGURE 4.50 Contact angle as a function of surface tension in BHF (6% HF/30% NH4F) with fluorine-type surfactant added.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
Contact angle on Si surface (deg)
202
Hydrocarbon surfactants
80
9 10
60
15 3
1
2
4
16 19 1214
40
11
5
20
Before filtration After filtration
6 7 8
0 0
20
13
1718
40
60
80
Surface tension (mN/m) 1. Propylamine, 2. Butylamin, 3. Amylamine, 4. Hexylamine 5. Heptylamine, 6. Octylamine, 7. Nonylamin, 8. Decylamine 9. Laurylamine, 10. Tetradecylamine, 11. Octadecylamine 12. Coconutamine Acetate, 13. Trioctylamine 14. Stearylamine Acetate, 15. Dodecyl Trimethyl Ammonium Chloride 16. Lauryl Trimethyl Ammonium Chloride, 17. Alkyl Benzyl Ammonium chloride, 18. Dimethyl Alkyl Betaine, 19. 2–Alkyl–N–Carboxy Ethyl Imidazolium Betaine, 20. Coconut Alkyl Dimethyl Benzyl Ammonium Chloride
FIGURE 4.51 Contact angle as a function of surface tension in BHF (6% HF/30% NH4F) with hydrocarbontype surfactant added.
angle and surface tension between the samples before and after filtration. Concentration of surfactants added to BHF is the same at 1000 ppm. When fluorine-type surfactant is added to BHF, as shown in Figure 4.50, surface tension drops but contact angle does not drop before filtration. After filtration, surface tension of the surfactantadded BHF returns back to the level before the fluorine-type surfactant addition. This is because fluorine-type surfactant is separated from BHF as it is adsorbed onto filter and the filtrated BHF no longer has the surface-active properties. As shown in Figure 4.51, surface tension is often found to rise after the BHF solution with hydrocarbon-type surfactant is filtrated. It is important to select surfactants that are also stable in filtration process. To meet this requirement, surfactants must feature optimum solubility in BHF as indicated in (c). Surfactant-added BHF loses its surface-active properties through filtration mainly because surfactant solubility in BHF is not high enough. The authors studied the relationship between surfactant concentration and surface tension by using aliphatic amines with its carbon number varied. Aliphatic amine is one of hydrocarbontype surfactants. Figure 4.52 shows the experimental results. As carbon number of aliphatic amines increases, aliphatic amine concentration required to get surface tension platitude decreases. Solubility of aliphatic amine in BHF gets lower when its carbon number is higher, and thereby addition of low-concentration aliphatic amine is effective in reducing surface tension. Aliphatic amines with carbon number less than 8, feature high solubility in BHF. Neither contact angle nor surface tension is sufficiently lowered, therefore, even when these aliphatic amines of 1000 ppm is added to BHF. On the other hand, laurylamines with carbon number of 12 or more are solid and feature extremely low solubility in BHF. They are separated through filtration process, and thereby their addition to BHF does not contribute to reduce surface tension. It is proven important that surfactants should feature optimum solubility in BHF. The study has revealed that when aliphatic amines, are used as surfactant, the carbon number should be chosen between 8 and 10 to effectively lower surface tension and contact angle.
Etching of Various SiO2
203 100 Laurylamine C : 12
Amylamine Butylamine C:5 C:4
Propylamine C:3
Surface tension (mN/m)
80 Octylamine C:8
60
40
Nonylamine C:9
Heptylamine C:7
20
Hexylamine C:6
Decylamine C : 10
0 10
100
1000
10000
Surfactant concentration (ppm)
FIGURE 4.52 Relationship between surface tension and concentration of aliphatic amines added to BHF (6% HF/30% NH4F) (after being filtrated with filter with pore size of 0.22 mm).
It is also important, as indicated in (d), that the surfactant is hydrophilic on wafer surface. During etching, the surfactant is adsorbed onto Si surface. If a perfluoro-compound which is strongly hydrophobic is used as the surfactant, perfluoro-polymer film features low wettability with organic solution or with aqueous solution because it features low surface energy due to its low intermolecular cohesive strength and small intermolecular force on solid – liquid interface. As a result, UPW rinsing cannot effectively remove the perfluoro-polymer film, and its residue may remain on Si surface. Table 4.8 shows the surface energy of end groups of compounds. Surface energy of different end groups is as follows: 22CH222 . 22CH322 . 22CF222 . 22CF2 H . 22CF3
TABLE 4.8 Surface Energy of End Groups
Fluorocarbon surfaces
Hydrocarbon surfaces
Chlorocarbon surfaces
Structure of Terminal group
Surface Energy (mN/m) 2088 C
2 2CF3 2 2CF2H 2 2CF3, 2 2CF22 2 2 2CF22 2CF22 2 2 2CF22 2CFH2 2 2 2CF22 2CH22 2 2 2CFH2 2CH22 2 2 2CH3 2 2CH22 2CH22 2 2 2CH2 2 2 2CClH2 2CH22 2 2 2CCl22 2CH22 2 5 5CCl2
6 15 17 18.5 22 25 28 20–24 31 35 39 40 43
204
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
It is indicated that 22CF3 features the lowest surface energy and wettability. Compounds with those end groups with high surface energy such as 22CH222 and 22CH3 must be selected as surfactants. As indicated in (e), a surfactant must feature sufficiently low contact angle at its critical micellar concentration (CMC). This is because a solid surface has a specific surface tension. Zisman [2] reported the critical surface tension of various solids. Table 4.9 shows critical surface tension of typical solids [2]. Critical surface tension of Si surface, however, has not yet been reported. This is because oxide grows on the surface at a moment when Si wafer is immersed into the aqueous solution as bare Si surface is very active. It is possible, however, to measure the critical surface tension of Si surface in BHF. The oxide on Si surface is immediately etched to constantly keep the surface away from oxide in BHF. The authors measured critical surface tension of Si surface while varying the surfactant concentration. In this experiment, hydrocarbon-type surfactant and fluorine-type surfactant were used. Figure 4.53 shows the measurement results. Critical surface tension of Si surface is found to be 22 mN/m. This means that liquid with surface tension of 22 mN/m or less is required to get Si surface totally wet (contact angle of 08). It has been reported, however, that an etchant with a contact angle of 30– 408 is sufficient to perform the etching with low defectivity in ULSI manufacturing process. In order to maintain the contact angle around 30 –408, hydrocarbon-type surfactant of 100– 180 ppm is required while fluorine-type surfactant of several thousands to 10,000 ppm (1%) needs to be added to BHF as shown in Figure 4.54. BHF with fluorine-type surfactant features large contact angle at CMC. In order to reduce the contact angle, therefore, fluorine-type surfactant with higher concentration than CMC needs to be added to BHF. Only three types of cationic surfactants (Nos. 6, 7, and 8) are found to meet all the five conditions (a) –(e) listed earlier.
B. FUNCTIONAL EVALUATION OF SURFACTANT-ADDED BHF 1. Improvement of Wettability on Si Surface and Resist Surface It is logical to evaluate the wettability on a solid surface not in terms of surface tension representing free energy of gas – liquid interface but in terms of contact angle representing free energy of solid – liquid interface. Contact angle (u) is expressed in Young Equation (4.28) as mentioned earlier [2]. Although fluorine-type surfactant is often added to BHF to reduce its surface tension, it is not so effective in improving the wettability on solid surface. Because hydrophobic groups of fluorinetype surfactant is composed of 22CF3 groups and 22CF2 groups both of which are water-repellent and oil-repellent, it cannot reduce contact angle of BHF on the surface to which it is adsorbed to turn it to hydrophilic. Hydrocarbon-type is slightly less effective in reducing the surface tension than the fluorine-type, but it can decrease the contact angle to improve wettability. It is found that only hydrophilic surfactants such as aliphatic carboxylic acids and carboxylates (C5 – C11),
TABLE 4.9 Critical Surface Tension of Solids Solid Perfluoroethylene Napthalene n-Hexadecane polyethylene Polystyhrene Nylon
Critical Surface Tension (mN/m) 18 25 29 31 33–43 42–46
205
1 Hydrocarbon surfactant Fluorocarbon surfactant
0.8
0 20 40 50
0.6
60 0.4 70 0.2
80 90 100
0 0
20
40
60
80
Contact angle on Si surface (deg)
Contact angle on Si surface cos θ
Etching of Various SiO2
Surface tension (dyn/cm)
FIGURE 4.53 Wettability of hydrocarbon and fluorocarbon surfactants in BHF on silicon surface.
aliphatic amines (C7 –C12), and aliphatic alcohols (C6 – C12) are effective in improving the wettability when added to BHF solution [3]. At room temperature, the contact angle of BHF on Si surface is about 708 (surface tension: 84 –90 mN/m) while that of water on Si surface is 858 (surface tension: 72.5 mN/m). BHF does not especially feature very low wettability. However, as shown in Table 4.10, contact angle of BHF on Si surface gets smaller when hydrocarbon-type surfactant is added. This makes Si surface sufficiently wet. For pattern etching, wettability of etchant on the resist surface is very important as the resist is used as a mask material. Resist surface is often hydrophobic. Contact angle of BHF on the resist is 708 or more. It has been revealed those surfactants which are effective in reducing the contact angle of BHF on Si surface also improve the wettability of BHF on typical resist surfaces. 2. Reduction of Particles in BHF
Contact angle on Si surface (deg)
The concept of CMC of surfactants in solution is important in adjusting the interface activation functionality. Surface tension and contact angle go down as the surfactant concentration increases, and then they get leveled off around CMC. As the surfactant concentration exceeds CMC, excess surfactant generates micelles in solution, and these micelles are counted as particles with a particle
100 Hydrocarbon surfactant Fluorocarbon surfactant
80 60 40 20 0 0
200
400 600 800 Surfactant concentration (ppm)
1000
10000
FIGURE 4.54 Relationship between surfactant concentration in BHF and contact angle on silicon surface.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
206
TABLE 4.10 Relationship between BHF Composition, its Surface Tension, and its Contact Angle on Si Surface (with or without Surfactant)
BHF 40% NH4F:50% HF 5:1 6:1 9:1 10:1 20:1 30:1 100:1 400:1
Composition (%) NH4F
HF
Surface Tension (mN/m)
33.3 34.3 36.0 36.4 38.1 38.7 39.6 39.9
8.3 7.1 5.0 4.5 2.4 1.6 0.5 0.1
84.1 85.6 87.8 87.8 90.1 91.4 92.2 92.9
Contact Angle (88 ) Without With Surfactant Surfactant 69 69 73 70 73 70 73 73
30.6 30.8 30.4 30.6 30.6 30.4 30.8 30.2
counter which detects particle by scattering of laser. In general, various physical properties such as contact angle, surface tension, and osmotic pressure start changing around CMC [4]. An aliphatic amine-type surfactant with its concentration varied was injected to BHF (4% HF and 20% NH4F), and the surfactant-added BHF was filtrated at a fixed condition. After the filtration, these BHF solutions were filled in bottle and particles couned in each solution with laser-type lightscattering particle counter. Figure 4.55 shows the measurement results. Usually the particle count in a surfactant-added BHF increases as the surfactant concentration is raised even if the solution is circulated filtration. However, when aliphatic amine-type surfactants are added particle count in the solution after filtration drops around CMC of the surfactant. The mechanism of this phenomenon has not yet been made clear. One potential speculation is that capability of surfactant to coagulate particles may also contribute to particle removal. Meanwhile flow
Particle Numbers (pcs/ml, ≥ 0.2 µm)
1000
100
10
1 0
200
400
600
800
1000
1200
Surfactant Concentration (ppm)
FIGURE 4.55 Relationship between particle numbers in BHF (4% HF/20% NH4F) and surfactant concentration.
Etching of Various SiO2
207
rate of BHF to go out of the filter is different between when surfactant is added and when surfactant is not added. When the surfactant is injected to BHF, wettability of the solution on the filter surface is raised. Accordingly resistance of the filter against BHF flow declines to increase its flow rate. The low resistance of filter against the flow of surfactant-added BHF helps captured particles to remain on the filter surface.
3. Suppression of Particle Adhesion to Si Wafer Surface
Particle Adsorption on 5” Si Wafer/(pcs/wafer, 0.2–0.3 µm)
Five-inch bare Si wafers were treated with different etchants, and then particle count on the wafer surface was measured with an automatic wafer defect inspection system. Particles, 0.2– 0.3 mm were counted in this measurement. Figure 4.56 shows the results. By optimizing the surfactant concentration, average particle count on Si wafer can be reduced to below that of when Si wafer is treated with 0.5% HF. Variance of particle count is better when surfactant concentration is optimized than when 0.5% HF is used as the etchant. Average particle count of when surfactant concentration is optimized is just a half of that achieved when Si wafer is treated with BHF without surfactant. It is speculated that particle adhesion is suppressed in the surfactant-added BHF because the surfactant gets adsorbed both onto Si wafer and onto particles in BHF. There are two mechanisms of particle contamination of wafer surface in wet process: (1) adhesion of charged particles in solution and (2) re-adhesion of particles removed from back surface of wafer. As described earlier, surfactant addition to a chemical solution is very effective in suppressing particle adhesion in both the mechanisms. In sequence, the authors will describe the mechanism of particle adhesion to wafer surface in detail. Because of different relevant factors, either an repulsive force or an attractive force is applied between wafer surface and the particles in solution. What is significant in water –particle interaction is van der Waals attraction. This force gets increasingly important as the particle gets smaller in size. In aqueous solution, an electrical double layer is formed along with charged interface as the solution contains ions. When two surfaces get closer and their electrical double layers get
120
Cz-n (100) Si Wafer Etching Time = 1min Etching Temperature = 25°C
100 max. 80
60
40
20
ave.
min.
As Received Wafer
0 0.5%HF
Conventional BHF without Surfactant HF = 6wt% NH4F = 30wt%
Conventional BHF with Surfactant HF = 6wt% NH4F = 30wt%
FIGURE 4.56 Particle adsorption on Si wafer surface after chemical treatment.
Low NH4F BHF with Surfactant HF = 5.5wt% NH4F = 20wt%
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
208
overlapped with each other and an electrostatic interaction is generated. In short, the basic interactions between wafer surface and particles in a solution primarily include van der Waals forces of attraction and electrostatic interaction (due to electrical double layer), and the mechanism of particle adhesion to wafer surface can be explained through these two interactions [5 – 8]. Both wafer surface and particle in solution are surrounded by electrical double layer. By and large, therefore, wafer surface and particle in solution are electrically neutral with each other. When a particle approaches the wafer surface by means of Brownian movement among others, the electrical double layers of wafer surface and the particle in solution get overlapped with each other to generate electrostatic forces of interaction. At the same time, the wafer surface and the particle in solution are subject to van der Waals forces of attraction. Figure 4.57 shows an example of calculated total potential energy between the wafer surface and the particle in solution. This calculation is based on the assumption that a polystyrene particle of 0.6 mm in size in an electrolyte solution of 1023 mol/l interacts with the Si wafer surface. Total potential energy is defined as the energy required to move particle to its position when a particle approaches toward the wafer surface (original point) from infinite-distance point. Slope of the potential curve represents force applied between the wafer surface and a particle. As shown in Figure 4.57, when zeta potential of both wafer surface and particle is þ60 mV in the same polarity, repulsive force due to electrical double layer is larger than attractive force of van der Waals. Under this condition, the maximum value of the potential barrier exists in the vicinity of wafer surface, and thereby particle does not adhere to wafer surface. On the other hand, when zeta potential of particle is þ60 mV and that of wafer surface is 220 mV (when zeta potential of wafer surface and particle is in opposite polarity), total potential energy becomes negative and accordingly attraction force is applied. As a particle is within 50 nm from wafer surface, it is likely to adhere to the wafer surface. In order to prevent the particle from adhering to the wafer surface, it is important to control the zeta potential of wafer surface and of particle to the same polarity [9]. With rise of ion concentration in solution, however, electrical double layer shrinks. Accordingly a region subject to electrostatic repulsive force gets smaller even if wafer surface and the particle features zeta potential of the same polarity. Then, van der Waals attraction becomes dominant in solution with high ion concentration, and particle adheres to the wafer surface even if their zeta potential is controlled to the same polarity.
Potential of electric double layer (wafer: +60mV, particle: +60mV) 40 Total Potential (wafer: +60mV, particle: +60mV)
Potential Energy (eV)
van der Waals Potential 20
0
0
50
100 Distance (nm)
−20
Potential of electric double layer (wafer: −20mV, particle: +60mV) Total Potential (wafer: −20mV, particle:+60mV)
−40 Concentration of Solution ; 10−3 mol/l, Diameter of particle ; 0.6 µm
FIGURE 4.57 Total potential energy curve of interactions.
Etching of Various SiO2
209
The wafer surface to be processed in actual ULSI manufacturing process is composed of different materials such as Si, SiO2, and Si3N4. In acid solution with low pH value, these different surfaces feature zeta potential of different polarities [9]. When acid solution such as DHF contains charged particle, the particle will adhere to wafer surface, contributing of different materials to the surface, featuring different zeta potentials. It is also known that particle adhesion to wafer surface depends not only on intermolecular action and electrostatic interaction between the two surfaces but also greatly on properties of the two surfaces (hydrophilic and hydrophobic). It is reported that in aqueous solution hydrophobic interaction which is applied between two hydrophobic surfaces becomes dominant in a region within 50 A˚ from wafer surface, which is much closer to the wafer surface than the region where van der Waals attraction works [10 –12]. It is also reported that how the surfactant molecule gets adsorbed depends on zeta potential and property (hydrophilic and hydrophobic) of target surfaces in solution without surfactant addition. From the above-mentioned facts, it emerges that controlling zeta potential of particle surfaces and wafer surface by means of surfactant addition is effective in suppressing particle adhesion to the wafer surface. As it becomes necessary to tackle with adhesion of smaller particle, a thorough study will be required to precisely understand type and amount of surfactant to be added. 4. Elimination of Organic Residues on Si Surface Addition of hydrocarbon-type surfactant remarkably improves effectiveness of postetching rinsing as this surfactant is hydrophilic. Using FT-IR, the authors examined surface of Si wafer which was etched with different BHF solutions and then rinsed with UPW. Figure 4.58 shows the FT-IR spectrum. Regardless of surfactant addition, organic adsorption is detected on Si wafer surface treated with N2 blow-drying step. On the Si wafer treated with spin-drying in N2 ambience, however, no peak of organic is detected even if the wafer was first treated with BHF with surfactant added. These experimental results indicate that organic residue on Si surface is not derived from surfactant added to BHF but from air-borne organic compounds in cleanroom.
–(CH2)3 –
Absorbance (×10 −3)
6
4
BHF without Surfactant (N2 Blow) BHF with Surfactant (N2 Blow) BHF with Surfactant (N2 Spin Dry)
C – CH3
C–H – (CH2)n–
2
0
3100
3000
2900 Wave Number
2800 (cm−1)
FIGURE 4.58 FT-IR spectrum of wafer surface after BHF treatment.
2700
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
210
5. Suppression of Increase of Microroughness on Si Wafer Surface In ULSI manufacturing process, it has become extremely important to suppress increase of surface microroughness on Si wafer. Because gate oxide of MOS transistor is as thin as 10 nm, microroughness of Si wafer surface even in order of subnanometer may affect electrical characteristics of ULSI device. Using different etchants featuring the same etch rate on thermal SiO2 film, authors etched Si wafer. After 10-min etching, surface microroughness (as Ra value) of Si substrate was measured with AFM. Figure 4.59 shows the measurement results. Si substrate etched with BHF with surfactant is found to remain as smooth as Si wafer as received. When Si substrate is etched with BHF without surfactant, H2 gas bubbles generated because of the reaction between Si and BHF adhere to Si surface, which leads to nonuniform etching. On the other hand, H2 bubbles are easily released from Si surface when surfactant is added to BHF, which enables etching to proceed uniformly. And the etch rate of Si substrate of BHF can become slower by addition of surfactant.
6. Etching Selectivity The authors studied how surfactant concentration affected etch rate (Figure 4.60). For 63 BHF (6% HF and 30% NH4F), specifically the etch rate remains stable when the surfactant concentration is less than 300 ppm, but it plummeted as the surfactant concentration exceeds 300 ppm. As discussed in Figure 4.55, when addition concentration of surfactant is more than CMC, particle count increases because the surfactant start to form micelles. The particle count of this BHF increase as addition surfactant concentration increase more than 140 ppm. This means CMC of this surfactant in 63 BHF is around 140 ppm. At a surfactant concentration of 300 ppm where etch rate shows a sharp drop, excessive micelles of about 160 ppm exist in 63 BHF solution. Because these micelles get adsorbed onto SiO2 surface, etch rate on SiO2 declines. If surfactant concentration is below CMC, etch rate of surfactant-added BHF is kept equal to that of BHF without surfactant. Actually this fact is also desirable from the process perspective. When a surfactant is
Surface Microroughness Ra (nm)
1
Cz-n(100) Si Wafer Etching Time = 10min Etching Temperature 25°C
0.8
0.6
0.4
0.2
max.
ave. min.
0 As Received
Conventional BHF without Surfactant
0.5%HF
FIGURE 4.59 Surface microroughness evaluation after chemical treatment.
Conventional BHF with Surfactant
Etching of Various SiO2
211
Etch Rate of Thermal SiO2 at 25°C (A/min)
1200 1000 800 600 400 200 0 0
100
200
300
400
500
600
700
Surfactant Concentration (ppm)
FIGURE 4.60 Relationship between etch rate of thermal SiO2 film and surfactant concentration of 63 BHF (6% HF/30% NH4F).
more than CMC, etch rate is declined and particle adhesion increases. It is very important to inject appropriate amount of a surfactant. Even when a surfactant with optimum concentration is added to BHF, etch rate of Si substrate drops to one-third to one-fourth, compared with that of BHF without surfactant. This is considered because hydrocarbon-type surfactant molecule of even low concentration gets adsorbed onto bare Si surface because of its very high activity. As shown in Table 4.11, etching selectivity between Si and SiO2 of BHF and of surfactant-added BHF is 921 and 3281, respectively. By injecting a surfactant to BHF, the selectivity is increased by 3.6 times. Because of extremely high activity of bare Si surface, surfactant molecules are considered to be adsorbed strongly onto the surface. However, hydrocarbon-type surfactant is hydrophilic, unlike fluorine-type surfactant, and thereby it can be removed from Si surface in UPW rinsing easily and will not remain on the surface. It is extremely important that UPW rinsing following etching step or chemical cleaning step should be capable of completely removing the surfactant, particles, and other impurities, or otherwise the ULSI device will be seriously affected.
7. Penetration into Minute Space Using two types of BHF, namely BHF with and without surfactant, authors etched Si wafer with thermal SiO2 film coated with resist on which contact hole with diameter of 1 mm was fabricated. Following the etching step, the photoresist was removed, and then the profile of contact hole was evaluated with AFM. Figure 4.61 shows AFM images of their cross-section. When BHF without
TABLE 4.11 Etch Rate Selectivity of Si to Thermal SiO2 Film BHF
SiO2
Without surfactant With surfactant
1050 1050
˚ /min) Etch rate (A Si Selectivity 1.14 0.32
921 3281
212
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
surfactant is used, profile of etched contact hole is not uniform. Uniform etching is achieved as surfactant addition to BHF increases its wettability on the photoresist surface. 8. Suppression of Foam Generation in BHF Some surfactants, when added to BHF, allow considerable foams generation. One reason for foam generation is attributed to air inclusion to BHF during its filling process. Addition of these surfactants may cause various problems as follows: .
.
.
.
.
As foams are generated during BHF handling, BHF may overflow from etching bath or BHF surface may be covered with foams. Foams may adhere to surface to be etched, which leads to nonuniform etching. Or when foams are trapped in minute space, etching failure may take place. Foams adhere to Si surface as Si wafer is being withdrawn from BHF, which leaves stain on Si surface. The stain is detected as haze or as particle when Si wafer is evaluated with wafer surface defect inspection system. Foams may negatively affect chemical waste treatment process.
In order to prevent these problems from taking place, it is desirable to suppress foaming in wet etching process or to defoam promptly. Aliphatic amine is stable in BHF, and it improves BHF wettability. However, BHF with aliphatic amine added is likely to generate foams. Meanwhile hydrocarbon-type alcohols and carboxylic acids, compared with aliphatic amines, are less effective in improving BHF wettability, but effective in defoaming. By adding two types of surfactant,
BHF without Surfactant
BHF with Surfactant
FIGURE 4.61 Cross-section profile of contact hole after etching.
Etching of Various SiO2
213
namely hydrocarbon-type alcohols or hydrocarbon-type carboxylic acids and aliphatic amines, to BHF, therefore, BHF will feature excellent wettability on Si and photoresist surfaces and defoaming capability. The Ross –Miles test is well known as a means to measure the degree of foaming. The method actually measures foam height [13]. The sample bottle of 25 ml in capacity was filled with 10 ml BHF, and shaken vigorously for 10 sec. Then, the authors observed how foams disappeared over time after the 10 sec shaking. When aliphatic amine was added to BHF, foaming state remained unchanged even after 3 min. On the other hand, when aliphatic amine and small amount of carboxylic acid were added to BHF, foams were completely eliminated in about 1 min. In this case, stain of foam on Si wafer surface is not detected at all. When being diluted with water BHF with aliphatic amine and carboxylic acid does not generate any foam even when it is shaken, which will eliminate troubles in chemical waste-treatment plant.
REFERENCES 1. Asahi Glass Corp., Technical Catalogue of Fluorine Type Surfactant, pp. 10. 2. W.A. Zisman, Contact angle: wettability and adhesion, F.M. Fowkes, ed., Advances in Chemistry Series, Vol. 43, Chapter 1, American Chemical Society, Washington, DC, 1964. 3. H. Kikuyu, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Surface active buffered hydrogen fluoride having excellent wettability for ULSI processing, IEEE Trans. Semicond. Manuf., 3, 99– 108, 1990. 4. Kirk-Othmer, Encyclopedia of Chemical Technology, 3rd ed., 344 – 345. 5. F. Kitahara and A. Watanabe, Electric Phenomena of Interface, Basic/Measurement/Application, Kyouritsu Press, pp. 229–311. 6. F. Kitahara and K. Aoki, Chemistry of Colloid and Interface, 3rd ed., Hirokawa shoten, 1987. 7. F. Kitahara and K. Furusawa, Chemistry of Dispersion and Emulsion System, Kougaku tosho, 1989. 8. T. Ohmi, Ultra Clean ULSI Technology, Advanced Electronics I-15, Baifukan, 1995. 9. M. Itano, Doctoral Dissertation, Tohoku University, 1995. 10. J.N. Israelachvili and R.M. Pashley, J. Colloid Interface Sci., 98, 500 – 514, 1984. 11. F. Kitahara, K. Furusawa, 5th ed., Koudansh, 1990. 12. T. Kezuka, Doctoral Dissertation, Tohoku University, 1999. 13. M.J. Rosen and J. Solash, Factors affecting initial form height in the Ross – Miles foam test, J. Am. Oil Chem. Soc., 46, 399– 402, 1969.
VI. HF GAS ETCHING TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. GASEOUS-PHASE SELECTIVE ETCHING
OF
NATIVE OXIDE [1]
Gaseous-phase selective etching of native or chemical oxide film formed on a silicon surface is the most essential requirement for ULSI process technologies in view of low contact resistance, lowtemperature silicon epitaxy, high-quality thin oxide, and so on. Surface contamination is mainly caused by organic materials, metallic materials, and native oxide film. Dry cleaning of organic materials using ozone and dry removal of metallic materials using chlorine radicals are well known as feasible technologies. Ozone cleaning inevitably causes bare silicon surface oxidation. However, gaseous-phase selective etching of native oxide film has not been established.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
214
There have been several dry etching techniques of oxide films in the past, for example, pattern etching of silicon oxide film under a photoresist layer using HF vapor at 150 –2008C and overall etching of silicon oxide film using a gas mixture of HF and water vapor at room temperature [2 – 5]. The reaction of silicon oxide film and HF gas at room temperature has been recognized to proceed under the presence of water vapor so far. In such a case, all kinds of silicon oxide films, such as thermal oxide, CVD oxide, native oxide, and others have been etched away all at once without permitting selective etching. A gas mixture of HF and water vapor is too corrosive to the gas supply system and process chambers, these have been many problems to introduce this technology to manufacturing of devices. In this section, we report using ultraclean anhydrous-HF (A-HF) gas with extremely low H2O concentration and a corrosion-free system, gaseous-phase selective etching of native oxide has been realized [6,7]. The reaction mechanism of silicon oxide film with moistureless HF has been investigated, and the selective etching conditions of native oxide have been developed [8,9]. This section also describes the gaseous-phase selective etching of native oxide in an environment of strictly controlled A-HF concentration in N2. 1. HF Gas Etching Apparatus
MFC
Dew point meter MFC
IR cell Etching chamber Anhydrous HF vessel
Cooler
FIGURE 4.62 Anhydrous HF gas experimental system.
Exhaust
Ultra clean nitrogen
Figure 4.62 shows the experimental system using evaluation of gaseous-phase HF dry etching. This system is characterized by a complete corrosion-free, moisture-free, contamination-free structure and a continuous monitoring system. The system consists of an all-metal structure without plastic materials in order to suppress the influence of the huge amount of out-gas from plastic materials [10]. Additionally, the metal surface of this system is passivated by covering with a fluorine passivation film [7]. The fluorine-passivated metal surfaces are completely corrosion-free even under an extremely corrosive environment such as HF gas and chlorine gas, including a huge amount of moisture. A dew point (DP) meter and infrared (IR) spectrometer are equipped for monitoring the system operation and analyzing the etching reaction. In this experiment, A-HF with extremely low H2O concentration and ultraclean N2 gas as carrier gas is used. Total gas flow rate is fixed at 1 l/min constantly. Moistureless HF gas is obtained based on low-temperature gas – liquid equilibrium, where moisture concentration in liquid A-HF is guaranteed to be less than 0.1 ppm. Figure 4.63 shows the relationship between the HF vapor pressure and temperature. Moisture concentration in HF gas decreases with decreasing temperature. Then, the A-HF vessel temperature is precisely
Etching of Various SiO2
215
Vapor Pressure (kg/cm2)
0.1
0.05
0.01 −80
−70
−60
−50
−40
−30
Temperature (°C)
FIGURE 4.63 HF vapor pressure dependence on temperature.
controlled in the range of 270 to 2408C. The moisture concentration in the generated HF gas is suppressed to a few parts per billion level, where this moisture concentration is limited by moisture level in the carrier gas N2. The etching steps are as follows. The first step is an ultraclean N2 flow through the chamber. A DP meter monitors the moisture in the chamber after introduction of the wafer. The IR spectrometer strictly measures the HF concentration in N2. The last step is the etching of oxide films by the HF gas diluted with N2 gas. The IR spectrometer continuously measures the exhaust-gas composition. 2. Etching Reaction of HF Gas and SiO2 Figure 4.64 shows typical IR charts of HF and SiF4 which is one of reaction product gases of etching reaction of HF and SiO2. These gases were measured continuously with IR. In this figure, vertical axis shows time and horizontal axis shows transmittance as arbitrary scale. HF gas and SiF4 gas have a strong peak each at 3880 and 1028/cm, respectively. Time variation of
HF flow Start HF 3880cm−1 Transmittance
End Reaction time Start SiF4 1028cm−1 End
0
1
2
3
4
5
Time (min)
FIGURE 4.64 Typical IR chart of reacted gas for thermal oxide.
6
7
8
216
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
IR transmittance for reaction product SiF4 (at 1028/cm) is illustrated. The start and end points of reaction are clearly recognized by the generation of reaction product SiF4. The peak height of IR absorption is proportional to the surface area of the oxide film and the reaction rate, and the peak width is proportional to the thickness of the oxide film and inversely proportional to the reaction rate. In this experiment, etch rate is calculated with above method. The reaction mechanism is discussed as follows. In the liquid-phase reaction, hydrofluoric acid ionizes and reacts with silicon oxide to result in the formation of hydrofluoro-silicic acid. This is a well-known reaction process and is widely used in industrial production: þ SiO2 þ 3H2 Oþ þ 3HF 2 ! 2H3 O þ SiF6 þ 3H2 O
(4:29)
On the other hand, an HF molecule does not ionize in a completely moisture-free gas-phase environment. However, the reaction can be considered to be triggered by HF molecule ionization at the oxide film surface because of the remaining adsorbed moisture molecules. Thus, a keynote reaction is proposed as follows: 2HF þ H2 O ! H3 Oþ þ HF 2 SiO2 þ 2H3 Oþ þ 2HF ! SiF þ 4H O 4 2 2
(4:30) (4:31)
The presence of H2O on silicon oxide surfaces initiates the ionization of the HF molecule, and silicon oxide reacts with the generated HF2 2 ion, which leads to the generation of more H2O, as shown in Equation (4.31). The generated H2O enhances the ionization reaction of HF molecules, resulting in an increase of HF2 2 ions. This positive feedback mechanism causes rapid increase of oxide film etching. We call this mechanism by which HF ionization triggers the entire reaction process as “ionization trigger mechanism.” 3. Selective Etching of Various Silicon Oxide Films Figure 4.65 shows the relationship of the reaction rate and the HF concentration in N2 for thermal and native oxide films. There exists a critical concentration of HF at which the reaction of HF gas with silicon oxide film occurs. Thermal oxide film does not react with HF having concentrations less than 4.7% and native oxide film less than 0.6%. Therefore, only native oxide film is selectively
FIGURE 4.65 HF critical concentration of native oxide and thermal oxide films.
Etching of Various SiO2
217
etched away in the HF concentrations in the range 0.6 –4.7% without giving any influence on thermal oxide. The relationship of the HF critical concentration and the H2O concentration is studied for various oxide films, as shown in Figure 4.66. Thermal oxide films have the highest HF critical concentration, whereas CVD oxide films and CVD-BSG films have intermediate HF critical concentration. Two native oxides formed in hot air and ozone ambient have lower HF-critical concentrations. HF-critical concentrations of other native oxides formed by a wet chemical cleaning procedure such as (H2SO4 þ H2O2), (NH4OH þ H2O2), and HNO3 treatment exhibit intermediate values between these two critical concentrations. CVD-PSG film is not shown in Figure 4.66 because it has the lowest HF-critical concentration of less than 0.1%. Therefore, it is recognized from Figure 4.66 that the selective etching of native oxide film from other oxide films is possible except CVD-PSG, under the condition that the HF concentration is kept from 0.6 to 0.8% at the H2O concentration of 10 parts per billion (ppb). The HF concentration is completely controlled in these regions by adjusting the vessel temperature and utilizing the advanced gas-dilution system [11]. The HF-concentration region of selective etching becomes smaller at higher concentrations of H2O. Therefore, the moistureless condition is strictly required for reliability of this selective etching. The mechanism of this phenomenon has not yet been made clear, however, the moisture adsorption on oxide surfaces is considered over to affect this phenomenon strongly. Selective etching of multiplayer oxide is obviously possible, as shown in Figure 4.67, where the ˚ thick thermal oxide, a multiplayer oxide consists of CVD-PSG and thermal oxide. Over a 8900 A ˚ 6000 A thick CVD-PSG film is growns. This multiplayer oxide having a resist pattern is treated with diluted HF gas containing 0.17% HF and 0.1 parts per million (ppm) H2O, and then the resist is stripped. It is clearly seen from Figure 4.67 that CVD-PSG film is etched away, and thermal oxide film remains unchanged. After etching of the PSG film with HF gas, the residue of phosphate is detected on etched surface with XPS (X-ray photoelectron spectroscopy) and it dose not evaporate at 808C. This residue is considered as mono-fluoro-phosphate. Figure 4.68 shows XPS spectrum of this residue. The peak of P atom is detected at low energy range. It is confirmed that this residue is removed perfectly from wafer surface by UPW rinse for short time.
Critical HF concentration in N2 (vol %)
10.0 5.0 Thermal oxide (wet oxidation)
Thermal oxide (dry oxidation) CVD Oxide
1.0
BSG 0.5
Native Oxide
Selective etching region
(Hot Air Oxidation)
Native Oxide (O3 Oxidation)
0.1 0.01
0.1
1
10
H2O concentration in N2 (vol ppm)
FIGURE 4.66 Selective etching region of several Si dioxides.
100
1000
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
218
PSG
PSG
Thermal SiO2
Thermal SiO2
Si substrate
FIGURE 4.67 SEM photograph of selective etching between PSG and thermal oxide films.
4. HF Critical Concentration Dependence on Surface State of Various Silicon Oxide As explained earlier, the etching reaction of HF gas and SiO2 can be considered to be triggered by HF molecule ionization at the oxide film surface because of the remaining adsorbed moisture molecules. And it has been recognized that HF critical concentration depends on the surface state of various films. Figure 4.69 shows the relationship between HF critical concentration and binding energy difference of Si2p from substrate and from oxide in the XPS. This result indicates that HF critical concentration depends on this binding energy difference. And, from this result, it is recognized that when native oxide is treated at high temperature, it is possible to change its quality as same as that of thermal SiO2 film. 5. Evaluation of Si Surface after HF Gas Etching of SiO2 Film Characteristics of the clean bare silicon surface obtained in this gaseous-phase etching technology are investigated. As the bare silicon surface is immediately oxidized in the ambience having oxygen and moisture even at room temperature [12 – 14], native oxide must be removed just before starting each subsequent process in order to improve the process quality.
1000.0
P 2p
P 2s
C 1s
F 1s
Counts
O 1s
10000
0 Binding Energy (eV)
FIGURE 4.68 XPS spectra of Si surface after HF gas cleaning.
Etching of Various SiO2
219 5
HF conc. (vol%)
4
Native oxide Native oxide heated in N2 at 1000°C Thermal oxide
3
2
1
0 3.6
3.8
4.0
4.2
4.4
4.6
4.8
Binding energy difference (eV)
FIGURE 4.69 Binding energy difference dependence of HF critical concentration.
Figure 4.70 shows the XPS spectra from the HF wet (diluted HF solution) cleaned and the HF gas cleaned silicon surfaces. The Si2p XPS spectrum from native oxide is clearly recognized on the HF wet cleaned silicon surface. This native oxide comes from final rinsing in UPW containing dissolved O2 of 0.6 ppm. On the HF gas cleaned silicon surface, the Si2p spectrum peak from the native oxide is very weak. Figure 4.71 shows the XPS wide scan spectrum from the HF gas-cleaned silicon surface. A F1s spectrum appears at 686 eV. This indicates that the bare silicon surface is covered by chemically combined fluorine, that is, fluorine-terminated silicon surface. It is well known that Si22F bond energy is much higher than Si22H bond energy. Thus, chemically combined fluorine is considered to give a definite influence on successive processes. Therefore, as a next step the influence of chemically combined fluorine on the bare silicon surface is investigated. The effect of fluorine-terminated silicon surface on succeeding processes was evaluated by the sputtering epitaxy and the oxidation. Table 4.12 summarizes the results of low-temperature sputtering silicon epitaxy [15 – 20] on three different silicon surfaces such as fluorine-terminated silicon surfaces, native oxide surfaces ˚ , and wet-cleaned silicon surfaces having 0.4 A ˚ native oxide. In this having a thickness of 7 A method, single-crystalline silicon is obtained on the wet-cleaned silicon surface at a substrate
FIGURE 4.70 XPS spectra of Si surface after HF gas and diluted HF solution treatments.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
220
FIGURE 4.71 XPS spectra of Si surface after HF gas cleaning (wide scanning).
temperature of 3008C, where the sheet resistivity of the grown film having a thickness of 0.2 mm is 100 V. On the other hand, the grown films become a polycrystalline silicon having the sheet resistivity of 5 106 V on the native oxide surface and amorphous silicon having the sheet resistivity of 3 –5 106 V on the fluorine-terminated silicon surface, when all process parameters are kept at the same conditions. Thus fluorine-terminated silicon surfaces have been confirmed to exhibit characteristics similar to native oxide surfaces in film depositions. 6. Removing of Terminating Fluorine after HF Gas Treatment The above experimental results strongly indicate that the terminating fluorine must be removed before proceeding to the next processes. The elimination procedures has been investigated. Figure 4.72 shows the F1s XPS spectra from the fluorine-terminated surface after 1-h thermal treatment up to 9308C in N2 at atmospheric pressure. It is worthwhile to note that F1s spectral peak intensity does not change even after heating the substrate to 9308C. The surface-cleaning technology with low kinetic energy Ar ion bombardment has been introduced to remove the terminating fluorine, which gas been confirmed to be very effective to remove the adsorbed impurities on the bare silicon surface, particularly adsorbed moisture [1]. F1s XPS spectra from the fluorine-terminated silicon surface are shown for the different bombarding Ar ion energies in Figure 4.73, where the effect of the thermal treatment in an ultrahigh-vacuum
TABLE 4.12 Resistivity of Sputtering Epitaxial Silicon Silicon Substratea SiO2 film ˚) Thickness (A HF Cleaning Before Wet cleaning Gas cleaning
7 0.4 0.3
a
Epitaxial Silicon Crystal Sheet Structureb Resisitivityc Poly crystal Single crystal Amorpgous
Pretreatment: SPM ! UPW rinse ! IPA vapor dry.
b
Crystal structure: refrection elctron diffraction.
c
Sheet resistivity: four-poin probe method.
5 106 100 3–5 106
Etching of Various SiO2
221
Counts
(1) (2) (3) (4)
694
Binding energy (eV)
680
(1) R.T. 760 torr (2) 80 deg 1 Hr 760 torr (3) 630 deg 1 Hr 760 torr (4) 930 deg 1 Hr 760 torr
FIGURE 4.72 Variation of F1s XPS spectra from fluorine terminated surface by heating up to various temperature in N2.
environment is also given. The peak height of F1s spectra decreases with an increase in Ar ion energy, but the elimination of terminating fluorine is not sufficient even when Ar ion energy is increased up to 10 eV, as shown in curve (4). Figure 4.74 shows F1s XPS spectra from a fluorine-terminated silicon surface before and after irradiation by the Xe lamp, which has a power density of about 10 W/cm2 under the reduced pressure of 1 1028 torr. It is confirmed in Figure 4.74 that the Xe-lamp irradiation removes terminating fluorines from the silicon surface within 1 min. Figure 4.75 shows the F1s XPS spectra from a fluorine-terminated silicon surface before and after treatment with H2 plasma. It is confirmed in Figure 4.75 that H2 plasma treatment can removes the terminating fluorines from the silicon surface. The single-crystalline silicon epitaxy has been obtained on the HF-gas-cleaned substrate at 7008C by the Si2H6 CVD procedure, where terminating fluorine has been removed by Xe lamp irradiation using Jet-CVD which can precede HF-gas cleaning and Si epitaxy growth continuously [21,22]. Crystallinity of the grown film has been evaluated by reflection electron diffraction
FIGURE 4.73 Variation of F1s XPS spectra from fluorine terminated surface by low energy Ar bombardment.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
222
FIGURE 4.74 F1s spectra from fluorine terminated surface by Xe lamp treatment.
analysis, as shown in Figure 4.76, where the reflection electron diffraction pattern of the grown film on the HF-gas-cleaned silicon surface show clear Kikuchi lines, which are the same as those of the wet-cleaned silicon surface. 7. Conclusion Gaseous-phase selective etching of native oxide film, thermal oxide film, CVD oxide film, and so on by a gas mixture of moisture-free HF and N2 has been investigated. The reaction rates of several silicon oxide films in diluted HF gas are surveyed in detail as a function of reaction temperature, gas flow rate, HF concentration, and moisture level. It has been demonstrated experimentally that there exists an HF critical concentration in N2 for reaction with the oxide film, and this concentration is different for different oxide films, such as thermal oxide, CVD oxide, CVD-BSG, CVD-PSG, and native oxide. The selective etching of the native oxide film is attained by its low critical concentration of HF compared with other oxide films except CVD-PSG. Oxide films are perfectly removed from silicon surfaces by diluted HF gas etching, but bare silicon surfaces are covered by chemically combined fluorine. The fluorine-terminated silicon surface behaves similarly to the native oxide surface in succeeding film depositions and degrades
5000
Counts
After HF cleaning
after H∗ treatment 696.0
Binding energy (eV)
FIGURE 4.75 F1s spectra from fluorine terminated surface by H2 plasma.
676.0
Etching of Various SiO2
223
After HF Wet cleaning
Single crystal
Gas cleaning
Single crystal
FIGURE 4.76 Comparison of HF gas cleaning and HF wet-cleaning using Jet-CVD.
the generation lifetime of carriers in succeeding high-temperature processes such as oxidation. The chemically combined fluorine must be removed from the silicon surface before the succeeding processes. Xe 21-1 lamp irradiation in reduced pressure environments eliminates fluorine from the fluorine-terminated silicon surface. Native oxide is very well known to degrade contact resistance, where the contact resistance increases its significance with a decrease in pattern dimension, particularly for high-speed processor and analog devices such as imaging devices. This newly developed gaseous-phase selective etching technology makes it possible to remove native oxide films just before each processing. The mechanism of gaseous-phase etching of oxide film is discussed based on the dependence of reactions on moisture level, gas flow rate, and temperature. The gas-phase oxide film reaction is initiated by HF molecule ionization at the oxide surface because of adsorbed H2O molecules. The ionized molecule HF2 2 reacts with oxides resulting in the appearance of reaction product H2O, which enhances HF molecule ionization. This is a newly proposed ionization trigger mechanism for gaseous-phase oxide film etching.
B. COMPLETELY SELECTIVE ETCHING OF BPSG USING AN ANHYDROUS-HF GAS FABRICATION OF GAS-ISOLATED INTERCONNECTIONS [23]
FOR
1. Gas-Isolated Interconnect High-k Gate Dielectric Metal Gate Metal Substrate SOI Structure Silicon technology is now faced with gigahertz frequency and gigascale integrated system largescale integration’s (LSI’s) era instead of board implementations of general-purpose processor, DRAMs, etc., under the control of the operation software, where most of the software is implemented into the hardware in order to obtain gigahertz frequency RF circuits and analog circuits on a chip in addition to CPU, MPU, and memories. New paradigm Si technologies have been proposed to establish the gigahertz GSL system LSI in conjunction with its perfect scientific manufacturing free from fluctuations consisting of total low-temperature, high-quality, and high-speed processes based on very low electron temperature very high-density plasma free from metallic contaminations and wafer surface damage. Gas-isolated interconnects metal-gate high-k gate dielectric metal-substrate silicon-on-insulator (SOI) LSI is the most promising solution to the new paradigm Si system LSI, consisting of very high-speed performance digital circuits and highly accurate analog circuits.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
224
AHF Gas
By-products
Si3N4 Film TaN Film
BPSG Film
Cu Interconnect
Inlet Port
Exhaust Port
Thermal Through Hole (Si3N4 or AIN)
SiO2 Film n-MOS
p-MOS
n-MOS
Si3N4 Film Si3N4 or AIN
p+Si
p-MOS
p+Si
Metal(TaN/Cu/TaN)
Metal(TaN/Cu/TaN)
2) 1. Opening Ports on Top Nitride Layer 2. AHF Gas Injection → BPSG Completely Selective Etching By-product is exhausted from exhaust port immediately
1) Just After Interconnect Formation
FIGURE 4.77 Gas-isolated interconnect formation (completely selective BPSG etching by AHF gas).
Figure 4.77 and Figure 4.78 show proposed gas-isolated interconnect high-k gate dielectric metal-gate metal-substrate SOI LSI structure. Metal-gate high-k dielectric CMOS devices [24–27] are fabricated on metal-substrate SOI. A low-resistivity TaNx22bcc22Ta22TaNx stacked structure is used for gate electrodes. Ta and TaNx are midgap materials. Therefore, the threshold voltages of nMOS and pMOS are automatically adjusted at almost symmetrical values by identical gate material. The threshold voltage is 0.3 V, because of low-impurity concentration substrate for fully depletion SOI device. Ta2O522Si3N4 stacked film is used for the high-k gate insulator. EOT of less than 0.8 nm is expected. Superior current drive and less parasitic effect can be obtained by this device structure. For such high-current drive devices, low contact resistance must be Sealing Plug
He Gas 3) 1. He Gas Injection 2. Seal Exhaust and Inlet Port
n-MOS
p-MOS
Gas-isolated inter connect formation is completed. p+Si
Metal(TaN/Cu/TaN)
FIGURE 4.78 Gas-isolated interconnect formation (He gas injection and seal of exhaust/inlet port).
Etching of Various SiO2
225
decreased as small as possible, particularly for high-k gate dielectric devices in order to suppress the negative feedback effect. For this purpose, we have developed Ta22silicide22Si contact technology, in which the order of 1029 V-cm2 contact resistance has been realized. In order to lower the dielectric constant of interlayer dielectric to the physical limit of 1.0, the gas-isolated interconnect structure has been proposed. He gas is filled between interconnects and this isolates them. Note that an Si3N4 thermal through-hole structure, which is an electrically isolating but thermally conducting insulator, is utilized for heat removal and mechanical support of interconnects. Although the thermal conductivity of gas is much lower than conventional solid insulating films, the thermal through hole made of Si3N4, whose thermal conductivity is 1.0 W/cm K and much higher than SiO2 (0.013 W/cm K), can effectively remove heat generated in interconnects. Only 2.5% of area occupancy is sufficient for Si3N4 thermal through hole to suppress the increase in interconnect temperature within 58C. Although addition of pillar structures with high dielectric constant (9) material slightly increases effective dielectric constant, it is much less than other proposed low-k dielectrics such as SiOF and porous silica. For interconnect material, surface-silicide-passivated Cu22Mg interconnects having over 30 years of lifetime at current density of 107 A/cm2 and temperature of 858C are used. Metal – substrate SOI wafers are fabricated using a modified version of the ELTRAN process [28]. Si3N4 film (50 – 100 nm) is utilized for the buried insulator. The Si3N4 layer can effectively remove heat generated in transistors, and the SiO2 layer can maintain good SOI/buried – insulator interface properties. A pþ-silicon layer under the buried insulator is utilized for precise threshold voltage adjustment. This layer thickness must be much smaller than the skin depth of the propagating electromagnetic wave in order to suppress signal attenuation. A TaN (10 nm) – Cu (3 mm) –TaN (10 nm) stacked metal substrate layer is used as the ground plane of LSIs. This is necessary for ultrahigh-speed signal propagation without attenuation and for suppression of crosstalk interference through the substrate. This layer thickness must be much thicker than the skin depth. A Nickel – silicide layer is used for the wafer bonding. 2. Fabrication of Gas-Isolated Interconnections It is well known that oxide film etch using anhydrous hydrogen fluoride (AHF) gas has a very high etch selectivity for various oxides in comparison with HF solution. However, two problems are poor etch uniformity and etch residues. These limit all dry cleanings including AHF gas treatment. We focus on a new method for overcoming the issues using an AHF system shown in Figure 4.79. The total gas flow rate used in this study was set to 1000 standard cubic centimeter per minute (SCCM) of a 5% diluted AHF gas with ultrapure nitrogen (N2) gas [29]. Dew Point Meter
N2
H2O/N2
N2
N2
MFC
FT-IR
MFC Chamber inserted wafer
MFC
MFC AHF vessel
FIGURE 4.79 Experimental system of A-HF gas etching.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
226
Etch Rate of BPSG Film(Å/min)
10000
1000
100
BPSG (5%HF 100sccm) BPSG(5%HF 1SLM) BPSG(5%HF 2SLM)
10
1 50
0
100
150
200
250
300
Etching Temperature (°C)
FIGURE 4.80 Dependence on total flow rate of A-HF and carrier N2 gases with A-HF gas etching.
Figure 4.80 shows the dependence of BPSG film etch rate on process temperature. The etch rate of oxide film using AHF gas depends on the process temperature contrary to that in HF solution. Moreover, an optimum temperature with constant etch rate of BPSG film seems to be in existence. Figure 4.81 shows SiF4 peaks generated from reaction with AHF and BPSG film using a FT-IR system. When increasing process temperature, intensities of SiF4 peaks are drastically decreased. This result is strongly related to the etch rate in Figure 4.81. Figure 4.82 represents the etch residues after AHF treatment at high temperature. It is clear that BPSG film etch at high temperature region has a poor uniformity and etch residues. These results, indicate that BPSG film etch with process temperature can be separated by three kinds of regions which are: H2O remaining Region I, a region with a broad process window without etch residues (II), and poor etch uniformity region (III) with Si and P residues in Figure 4.83. In the
0.14 Etching Condition 5% HF, 0ppb H2O 1000 ccm Baking: 300°C × 1H Oxide: BPSG
0.12
Absorbance
0.10 0.08
(2) SiF4 (at 25°C) (4) SiF4 (at 100°C)
0.06
(5) SiF4 (at 150°C) 0.04
(3) SiF4 (at 50°C) (6) SiF4 (at 180°C)
0.02 0.00
(1) HF
0
2
4
6
8
10
Time (min)
FIGURE 4.81 Amount of SiF4 generated from reaction between A-HF and BPSG film dependence on etching temperature.
Etching of Various SiO2
227
FIGURE 4.82 Relationship between total flow rate of A-HF and carrier N2 gases and etch rate of BPSG film.
case of Region I, the BPSG film, the etch can be explained by the following reaction sequences. First, AHF gas reacts with B2O3 in BPSG film, resulting in generating H2O molecules. As H2O molecules have a high dielectric constant, they can dissolute HF gas to fluorine (F2) and hydrogen (Hþ) ions. After that, F2 ions are reacted on a successive reaction with HF molecules to generate HF2 2 ions, so that they can react with P2O5 and SiO2 in BPSG film. When the main ions of BPSG film etch at Region I are HF2 2 ions, its rate is faster than other regions. However, H2O generated as a byproduct is difficult to be exhausted to outside at low temperature region, resulting in remaining H2O residues on the surface, so that a surface rinse process is required for removing them. At the Region III, on the other hand, H2O molecules which were first formed by the reaction of AHF gas and B2O3 in BPSG film is easily exhausted the high temperature more than 1508C. The film etch rate is decreased because P2O5 and SiO2 in the BPSG film cannot react with HF2 2 which cannot be generated from the absence of H2O and remain on film surface. Moreover, BPSG film etch by HF molecules only has a poor etch uniformity. Figure 4.84 shows dependence of etch rate of various oxide films on process temperature. At optimum region in the range of 130 – 1508C, the etch selectivity of doped and nondoped oxides seem to have an unlimited value. And, Figure 4.85 shows the influence of SPM pre-treatment to
Etch rate ( Å/min)
I .B2O3 + 6HF →
2BF3 + 3H2O
. HF → H+ + F− (in H2O ambiance) . HF + F− → HF −2 I H2O residue
II
III
Optimum region
Si and P residue
.P2O5 (in BPSG) + 5HF2− + 5H+
→ 2PF5 + 2H2O
. SiO2 (in BPSG) + 2HF2− 2H+
→ SiF4 + 2H2O
III .B2O3 + 6HF → 2BF3+3H2O 0
50
100 250 150 200 Etching Temperature (°C)
(complete)
300
FIGURE 4.83 Main reactions of BPSG film etch using A-HF gas with etching temperature.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
228
Etch Rate of various Films ( Å/min)
10000
1000
100 BPSG T-SiO2 NSG TEOS Poly-Si
in 1000 SCCM N2 with 5% HF concentration
10
1 0
50
100
150
200
250
300
Etching Temperature (°C)
FIGURE 4.84 Etch rate of various film dependence on etching temperature.
etch rate of BPSG film using HF-gas etching at the low temperature of Regions I and II. Etch rate of BPSG film has a big difference with “pretreatment” at lower than 1008C, whereas it is not changed irrespective of “pretreatment” at optimum etching temperature Region II. We suggest that process temperature, based on the results for etch uniformity and residues of doped oxide films using AHF gas, should be controlled in the range of 130 – 1508C. Figure 4.86 and Figure 4.87 show sample preparation procedure of SEM observation of completely selective BPSG film etching. Figure 4.88 and Figure 4.89 show the SEM observation photographs of cross-section profile after AHF gas selective etching of BPSG. In the case of etching temperature at 1508C, only BPSG film is completely etched. On the other hand, in the case of etching temperature at 1808C, all of films are not etched at all. In order to obtain gas-isolation interconnections, interlayer dielectrics for multilevel interconnections must be fabricated by using very popular BPSG films. After the completion of fabrications of multilevel interconnections, these interlayer BPSG dielectrics are selectively eliminated by AHF gas (5 – 8%/HF and N2) at temperature of 130 –1508C.
16000
Etch rate (Å/min)
12000
in cleanroom air-exposure for 10 days Pre-treatment:SPM cleaning ( H2SO4/H2O2 = 4/1 for 10 min )
25°C
8000 Etch temperature 4000 100°C 150°C 0 No-treatment
Pre-treatment
FIGURE 4.85 Dependence of BPSG etch rate at various temperature on “pre-treatment”.
Etching of Various SiO2
229 Sample (Line & Space)
Sample Preparation
2000 Å 2000 Å
BPSG
4000 Å
T-SiO2
NSG Wet Oxidation, AP-CVD Resist Coating, Development
Si Dry Etching Resist Removal(Ashing) about 8000 Å
AHF Gas Etching (5%HF, 1000sccm, 1 min) Si Substrate
SEM Observation
BLANK
FIGURE 4.86 Sample preparation for SEM observation. Sample (Line & Space) Sample Preparation
1000 Å
BSG or PSG
1000 Å
NSG
Wet Oxidation, AP-CVD
2000 Å
BPSG T-SiO2
Resist Coating, Development
4000 Å
Si
Dry Etching Resist Removal(Ashing)
about 8000 Å
AHF Gas Etching (5%HF, 1000sccm, 1 min) Si Substrate
SEM Observation
BLANK
FIGURE 4.87 Sample preparation for SEM observation. NSG / BPSG / T-SiO2 / Si Etching Condition: 5%HF, 1000sccm, 1 min
about 8000 Å Si Substrate BLANK
NSG BPSG
NSG BPSG
T-SiO2
T-SiO2 Si Substrate 150°C BPSG Film Only Etching
Si Substrate 180°C BPSG Film Non-Etching
FIGURE 4.88 Cross-section of patterned wafer after A-HF gas etching (completely selective BPSG etching and nonetching).
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
230
BSG / NSG / BPSG / T-SiO2 / Si
NSG
NSG
BPSG
BPSG
T-SiO2
T-SiO2 Si Substrate
Si Substrate
150°C
180°C PSG / NSG / BPSG /T-SiO2 / Si
NSG BPSG
NSG BPSG
T-SiO2
T-SiO2 Si Substrate
150°C
Si Substrate
180°C
FIGURE 4.89 Cross-section of patterned wafer after A-HF gas etching.
REFERENCES 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19.
N. Miki, et al., IEEE. Trans. ED, 37, 107 –115, 1990. R.L. Bersin and R.F. Reichelderfer, Solid State Tech., 20, 78 – 80, 1977. D.F. Weston and R.J. Mattox: J. Vac. Sci. Tech., 17, 466 – 469, 1980. Ma Jun-Ru, J. Vac. Sci. Tech., 19, 1385– 1389, 1981. FSI Corp., Texas Instruments Inc., PCT Int. Appl., Wo87/1508, Al, 12, 1987. H. Kikuyama, et al., in: Proceedings of the 7th VLSI Ultra Clean Technology Symposium, 171 –207, 1988. M. Maeno, K. Maruhashi, Y. Nakagawa, N. Miki, and T. Ohmi, in: Proceedings of the 8th VLSI Ultra Clean Technology Symposium, pp. 119 – 139, 1989. N. Miki, H. Kikuyama, M. Maeno, J. Murota, and T. Ohmi, IEDM Tech. Dig., 730 – 733, 1988. H. Kikuyama, in: Proceedings of the 8th VLSI Ultra Clean Technology Symposium, 195 – 222, 1989. O. Uchizawa, T. Hatayama, Y. Kanno, and T. Ohmi, in: Proceedings of the 8th VLSI Ultra Clean Technology Symposium, pp. 27– 48, 1989. F. Nakahara et al., in: Proceedings of the 8th VLSI Ultra Clean Technology Symposium, 51 – 77, 1989. T. Ohmi, M. Morita, E. Hasegawa, M. Kawakami, and K. Suma, in: Extended Abstracts of the 2nd International Symposium on ULSI Science Technology on ECS Mtg., 89 – 1, pp. 227 – 228, 1989. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and K. Suma, in: Digest Technical Papers Symposium VLSI Technology, (Kyoto), 75 –76, 1989. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and K. Suma, Appl. Phys. Lett., 55(6), 562 –564, 1989. T. Ohmi, K. Matsudo, T. Shibata, T. Ichikawa, and H. Iwabuchi, J. Appl. Phys., 27, 2146– 2148, 1988. T. Ohmi, T. Ichikawa T. Shibata, K. Matsudo, and H. Iwabuchi, Appl. Phys. Lett., 53, 45 –47, 1988. T. Ohmi, K. Matsudo, T. Shibata, T. Ichikawa, and H. Iwabuchi, Appl. Phys. Lett., 53, 364– 366, 1988. T. Ohmi, H. Iwabuchi, T. Shibata, and T. Ichikawa, Appl. Phys. Lett., 54, 253 – 255, 1989. T. Ohmi, K. Matsudo, T. Shibata, T. Ichikawa, and H. Iwabuchi, in: Extended Abstracts of the 20th Conferences on S.S.D.M. (Tokyo), 49– 52, 1988.
Etching of Various SiO2
231
20. T. Ohmi, T. Ichikawa, and H. Iwabuchi, Appl. Phys. Lett., 54, 523 – 525, 1989. 21. T. Ohmi, et al., Appl. Phys. Lett., 52, 1173– 1175, 1988. 22. T. Ohmi, et al., in: Proceedings of the 1st International Symposium Advanced Materials for ULSI, 88 – 19, pp. 36– 43, 1988. 23. T. Ohmi, S. Sugawa, K. Kotani, M. Hirayama, and A. Morimoto, in: Proceedings of the IEEE, 89, pp. 394– 412, (Invited Paper), 2001. 24. H. Shimada and T. Ohmi, IEEE Trans. Electron Devices, 43, 431 – 435, 1996. 25. T. Ushiki, M.-C. Yu, Y. Hirano, H. Shimada, M. Morita, and T. Ohmi, in: Technical Digest of the International Electron Devices Meeting 1996, San Francisco, CA, pp. 117 – 120, 1996. 26. T. Ushiki, M.-C. Yu, Y. Hirano, H. Shimada, M. Morita, and T. Ohmi, IEEE Trans. Electron Devices, 44, 1467– 1472, 1997. 27. H. Shimada, Y. Hirano, T. Ushiki, K. Ino, and T. Ohmi, IEEE Trans. Electron Devices, 44, 1903–1907, 1997. 28. T. Yonehara, K. Sakaguchi, and N. Sato, Appl. Phys. Lett., 2108– 2110, 1994. 29. H. Arakawa, Y. Shirai, and T. Ohmi, in: Extended Abstracts of the Proceedings of SSDM, pp. 96 – 197, 2000.
VII. UNIFORM ETCHING OF GLASS SUBSTRATE MADE FROM MULTIPLE COMPONENTS TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION Liquid crystal science has a surprisingly long history. It dates back to when an Austrian botanist named Reinitzer observed mezophase in cholesteryl benzoate and in ester compounds of acetic acid. In 1888, German physicist Lehmann named the liquid discovered by Reinitzer “liquid crystal,” as he found that it featured refractive index peculiar to anisotropic crystal though it was liquid. Although the study of cholesterol compounds already had a long history, two scientists deserve credit for the discovery of liquid crystal. In 1964, Heilmeier et al. [1] at the David Sarnoff Research Laboratories of RCA in the United States discovered a liquid crystal whose light transmittance changed depending on electric field. They prototyped a liquid crystal cell and named its operating mode “DS (dynamic scattering) mode.” The prototyped liquid crystal cell operated only at high temperature about 1008C. In 1968, they announced a liquid crystal display which operated at room temperature. In 1971, Schadt et al. [2] proposed a TN (twisted nematic) mode. For thin film transistor-(TFT) liquid crystal display (LCD), Brody et al. [3] reported TFT using cadmium selenide (CdSe) in 1973. TFT-LCD is about to replace color CRT, a traditional king of display. Flat panel display (FPD) is expected to constantly improve its resolution. Along with this trend, the wet process of the current FPD manufacturing line is increasingly required to enhance cleanliness and precision. To be more specific, etching, cleaning, and patterning must be preformed with extremely high cleanliness and precision on glass substrate surface composed of various cations and oxides as well as on patterned glass surface [4,5]. Both HF and BHF (a mixture of HF and NH4F) are essential for etching and cleaning in the FPD manufacturing process [6 – 9]. In order to further increase the resolution of FPD, it becomes necessary to enhance performance and functionality of HF and BHF [10]. In order to reduce the weight and power consumption of FPD such as LCD and organic lightemitting diode (OLED), a thinner mother glass is being introduced. At the same time, size of the mother glass gets larger and larger to achieve higher efficiency and lower cost of FPD manufacturing process. It is not physically possible to infinitely reduce the thickness and increase the
232
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
size because the mother glass needs to feature a certain mechanical strength to be handled in the manufacturing process. In order to further reduce the thickness, it will become necessary to directly etch and clean the glass substrate. When a glass substrate made from multiple components is treated with the conventional HF and BHF, uniformity of etching and cleaning will not be maintained because 1. Crystals are precipitated and adhere to substrate surface. 2. Surface microroughness of glass substrate is greatly deteriorated. This section will discuss the most important technology to directly etch and clean glass substrate without deteriorating the uniformity and surface microroughness. Specifically, the authors will report certain newly developed chemicals.
B. ETCHING GLASS SUBSTRATE
WITH
HF AND BHF
The glass substrate currently used to manufacture LCD is mainly composed of Si and O, but it also Thjecontains Al, Ba, Ca, and other cation species. The authors conducted an experiment to observe effects of BHF solution commonly used in ULSI device manufacturing process on the glass substrate made from multiple components. In this experiment, the glass substrate was etched by about 6 mm of BHF solution contains 5.5% HF and 20% NH4F, at the etching temperature of 258C. Then, the surface of the etched glass substrate was observed with SEM (S-410, Hitachi Ltd.). This result is indicated in Figure 4.90. This observation detected precipitation and adhesion of some crystals on the glass substrate. Increase of surface microroughness was also detected. Qualitative analysis of the crystals was also conducted by using an energy dispersive X-ray (EDX) micro analyzer. The crystals were found to contain Al, Ba, Ca, Zr, and F. The EDX analysis did not detect Si at all. This analytical result indicates that the precipitated crystals are etching reaction products composed of glass-derived cation species dissolved into BHF and BHF-derived F. The fluoride cations are considered to feature poor solubility and they are hardly dissolved into HF solution or BHF solution. As a result, adhesion of the fluoride ions deteriorates the etching uniformity and increases surface microroughness of the glass substrate. In an attempt to suppress the generation of hardly soluble fluorides (crystals), the authors studied a reduced F2 ion in the etchant. To reduce the concentration of F2 ion. The focus was an acid dissociation reaction of HF by injecting acid (Hþ ion source) featuring higher acid dissociation constant than HF (K1 ¼ 1.3 1023) to the etchant [11]. 3um
Precipitation/adhesion of Crystal
Increase of Surface microroughness
x 10,000
Increase of Surface microroughness
FIGURE 4.90 SEM observation photograph of glass substrate etched by about 6 mm with BHF solution composed 5.5% HF and 20% NH4F at 258C.
Etching of Various SiO2
Equation Equation
233
2
K1 = 1.3 x 10-3
HF
1
K2 = 1 / 0.104
F−
HF
H+ HF2−
F− HX
Ka > K1
H+
X-
FIGURE 4.91 Dissociation reaction of HF and of acid with higher acid dissociation constant than HF (expressed as HX) in HF solution and in BHF solution [12].
Figure 4.91 shows dissociation reaction equation of HF in HF solution and in BHF solution. It also illustrates what happens when an acid featuring higher acid dissociation constant (Ka) than HF is injected into these etchants. When acid (expressed as HX) whose Ka is higher than K1 is added, generation of nondissociated HF is facilitated in accordance with acid dissociation reaction: Equation is superseded because Hþ ion is supplied by HX rather than HF. The generated HF, then, contributes to the generation of HF2 2 in accordance with Equation . It should be noted that the concentration of F2 ion is restricted, depending on the initial concentration of HF and NH4F (i.e., total amount of F in solution). Attempting to suppress the generation of hardly soluble fluorides, the authors also conducted an experiment to inject an acid composed of Hþ ion and anion species (X) to enable a salt with higher solubility than fluoride to be generated in etching reaction.
C. ETCH RATE
ON
GLASS SUBSTRATE
Etch Rate of Glass at 23°C (nm/min)
Figure 4.92 shows the etch rate as a function of concentration of Hþ ion added to BHF solution. In this experiment, HCl was selected as a source of Hþ ion. In this BHF solution, HF concentration was kept constant at 1 mol/kg whereas NH4F concentration was varied to 0, 1, and 3 mol/kg. Depending on the initial composition of BHF the impact of Hþ ion addition on etch rate is slightly varied when Hþ ion concentration is low. Generally speaking, however, etch rate rises almost linearly as concentration of added Hþ ion increases. Figure 4.93 shows etch rate on thermal SiO2 film, BPSG (B2O3/P2O5/SiO2) film, and glass substrate. In this experiment, HCl was selected as a source of Hþ ion. Hþ ion was injected to BHF solution with HF concentration of 1 mol/kg and NH4F concentration of 3 mol/kg. Etch rate of glass substrate and of BPSG film increases almost linearly along with increase of Hþ addition concentration. One of the reasons is that, unlike thermal SiO2 film, both BPSG film and glass substrate are made of multiple components. Meanwhile the fact that etch rate is varied 1800 HCI Addition
1600 1400 1200 1000 800 600 400
1mol/kg HF/0mol/kg NH4F/HCl 1mol/kg HF/1mol/kg NH4F/HCl 1mol/kg HF/3mol/kg NH4F/HCl
200 0
0
1
2 3 4 5 6 H+ Ion Addition Concentration (mol/kg)
FIGURE 4.92 Impact of Hþ ion addition to BHF on etch rate of glass substrate.
7
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
2000
200
1800
HCI Addition
180
1600
160
1400
140
Glass Sub.
1200 1000
120 100
Thermal SiO2 film
800
80
600
60
400
40
200
20
0
BPSG film
1mol/kg HF/3mol/kg NH4F
4 2 H+ Ion Addition Concentration (mol/kg)
0
6
Etch Rate of Thermal SiO2 film at 25°C (nm/min)
Etch Rate of Glass Sub. and BPSG film at 25°C (nm/min)
234
0
FIGURE 4.93 Etch rate of glass substrate, thermal SiO2 film and BPSG film.
depending on the type of the film when Hþ ion is added to HF or BHF implies possibility of selective etching on different films. On the basis of the previous studies about etch rate on various films in HF solution and in BHF solution, it has been revealed that the dominant ions in which in etching thermal SiO2 film is the 2 HF2 2 ion and BPSG film is HF2 ion and nondissociated HF. Here the authors performed another experiment. Hþ ion was injected to BHF solution by adding HCl. The BHF solution featured equimolar composition: concentration of HF and NH4F was set at 1 mol/kg. Then, behavior of etch rate on thermal SiO2 film and BPSG film was measured to study change in concentration of HF and HF2 2 ion in the BHF solution. Figure 4.94 shows the experimental results. In Figure 4.94, behavior of etch rate on thermal oxide can be grouped into three regions against Hþ ion addition concentration. In Region I where etch rate goes up along with increase of Hþ ion concentration, addition of Hþ ion contributes to generation of nondissociated HF, which eventually increases concentration of nondissociated HF and HF2 2 . This speculation is justified by the two phenomena. First, the rate of BPSG film etching, in which nondissociated HF and HF2 2 ion are dominant chemical species, goes up. Second, the rate of thermal SiO2 film etching, in which HF2 2 ion is a dominant ion, also goes up.
800
Range 1
Range 2
Range 3
700
40
600 35
500 BPSG film
400
30
300
25
200 20
1mol/kg HF/1mol/kg NH4F
15 0
1
2
3
4
5
Etch Rate of BPSG film at 23°C (nm/min)
Etch Rate of Thermal SiO2 film at 23°C(nm/min)
45
100 0 6
H+ Ion Addition Concentration (mol/kg)
FIGURE 4.94 Etch rate of thermal SiO2 film and BPSG film when Hþ ion is injected, by means of HCl addition, to BHF solution with equimolar composition (1 mol/kg for HF and NH4F).
Etching of Various SiO2
235
Region I turns into Region II when etch rate of thermal SiO2 film hits its maximum. In Region II, etch rate of thermal SiO2 film declines along with increase of Hþ addition concentration. It is 2 speculated that, in this region, HF2 2 ion gets decomposed to generate HF and F ion in order to maintain dissociation equilibrium reaction of HF. (Like in HF solution, it is considered that dissociation state of HF is changed due to increase of Hþ ion concentration.) Region II turns to Region III when etch rate of thermal SiO2 film starts to increase once again after hitting its minimum. In Region III, etch rate keeps increasing and gets higher than its initial value. As etch rate increases despite of constraint by initial F concentration just like in HF solution, it is speculated that new ion species to contribute etching reaction (e.g., HFCl2 ion) is generated and that Hþ ion plays a catalyst role [12 – 24]. HFCl2 ion can be detected in HCl added HF solution with electrospray ionization mass spectrometry (ESI-MS) analysis (Model no. D-300, JEOL Ltd.) [25].
D. SOLUBILITY OF CATIONS DERIVED
FROM
GLASS SUBSTRATE
2
The authors studied how F concentration drop because of Hþ addition would affect the solubility of cations derived from the glass substrate. Our study has revealed that small addition of HCl to HF solution increases solubility of the cations. In the case of BHF solution with initial composition of 1 mol/kg HF and 3 mol/kg NH4F, solubility of cations is also affected by Hþ addition. It is also found, by studying the etching results of glass substrates, that the impact of Hþ addition on solubility of cations is more significant in BHF solution than in HF solution. This is considered because of the difference in initial concentration of free F2 ion and Hþ ion between BHF solution and HF solution. For BHF solution, it is also revealed solubility of cation species is maximized by selecting optimum acid concentration range against initial BHF composition. For example, when BHF is initially composed of 1 mol/kg HF and 3 mol/kg NH4F, sufficient cation solubility is obtained by adding Hþ ion (as HCl) of 3 mol/kg or more. Sulfuric acid (H2SO4) and nitric acid (HNO3) are found to improve solubility of cations derived from glass substrate when they are added to BHF solution composed of 1 mol/kg HF and 3 mol/kg NH4F. For H2SO4 and HNO3, solubility of cation species is also maximized by selecting their optimum concentrations against initial BHF composition. Depending on the type of acid, that is, the type of counter anion of Hþ ion, the optimum acid concentration and solubility of cations are varied. In summary, solubility of cations derived from the glass substrate is varied, depending on the the type of acid to be added, that is, the type of counter anion of Hþ ion. For instance, . . .
Solubility of Ba is low when H2SO4 is added. Solubility of Al and Ca is low when HNO3 is added. Solubility of all cations gets high when HCl is added.
This observation suggests that it is necessary to select and inject the most appropriate acid (counter anion) to the etchant, depending on the composition of the glass substrate to be etched. For instance, in order to etch the glass substrate in which Ba concentration is extremely lowered, H2SO4 should be the most appropriate among the three acids listed above. Because H2SO4 is a bivalent acid, Hþ ion can be added effectively.
E. SURFACE MICROROUGHNESS
OF
GLASS SUBSTRATE AFTER ETCHING
Next the authors studied the relationship between the extent of etching and surface microroughness of glass substrate. In this experiment, 3 mol/kg HF solution with HCl is used to etch the glass substrate. Figure 4.95 shows surface microroughness as a function of etched depth. Surface microroughness is expressed as Ra (average microroughness) measured with contact-type surface profiler (a-STEP250, KLA-Tencor). As shown in Figure 4.95, the more HCl is added (or the higher etch rate is), the more surface microroughness increases.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
236
Surface Microroughness; Ra (nm)
90 80
HCl = 0.5 [mol/kg]
70
HCl = 3.0 [mol/kg] HCl = 4.0 [mol/kg]
60 50 40 30 20 10 0 0
20
40
60
80
100
120
Etched Depth of Glass Sub. (µm)
FIGURE 4.95 Surface microroughness of glass substrate after it is etched with 3 mol/kg HF solution to which Hþ ion is added by means of HCl spike.
Although the HF – HCl system effectively dissolves cations derived from glass substrate as described before, the system is found to increase Ra value after etching. Figure 4.95 also indicates that Ra value starts sharply increasing when etch depth exceeds 20 mm. Relationship between etch rate and surface microroughness will be discussed later when authors report relevant experiments using BHF solution. Figure 4.96 shows surface microroughness of glass substrate as a function of Hþ addition concentration added after glass substrate is etched with BHF solution composed of 1 mol/kg HF and 3 mol/kg NH4F to which HCl is injected to add Hþ ion. When BHF solution composed of 1 mol/kg HF and 3 mol/kg NH4F is used as an etchant, Figure 4.96 indicates that surface microroughness is most suppressed by spiking Hþ (as HCl) of 4 mol/kg. Earlier study has found that the Hþ addition concentration of 4 mol/kg in this BHF brings down etch rate of thermal SiO2 film to its minimum. Increase of surface microroughness was studied for other types of acids as well. Optimum concentration to minimize surface microroughness was found different among different acids. The authors also compared different acids to find out their optimum concentrations to suppress increase of surface microroughness. For BHF composed of 1 mol/kg HF and 3 mol/kg NH4F, it is revealed that surface microroughness is most effectively suppressed when 4 mol/kg HCl is spiked to the BHF solution.
Surface Microroughness; Ra (nm)
10 8.0
Etched Depth 25 µm 50 µm 100 µm
HCI Addition
8
6 5.5
5.0 3.7
4
3.5
3.9 2.4
2 1.8
2.2 1.8 1.2
1.1
0 1
2
3 4 H+Ion Addition Concentration (mol/kg)
5
6
FIGURE 4.96 Relationship between surface microroughness of glass substrate and etched depth when glass substrate is etched with BHF solution composed of 1 mol/kg HF and 3 mol/kg NH4F to which HCl is injected to add Hþ ion.
Etching of Various SiO2
237
FIGURE 4.97 Surface microroughness of glass substrate as a function of etch rate on glass substrate in HCladded BHF solution (etched depth: 25 and 50 mm).
The authors also added different acids to HF solution and BHF solution while changing initial composition of the two etchants. For the glass substrate used in our experiments (Code no. 1737, Corning), HCl is found to be the most effective in suppressing the increase of surface microroughness. Solubility of reaction products is considered to be one of the key factors to determine the increase of surface microroughness. It will become important, therefore, to study potential modification of glass substrate composition. Figure 4.97 shows surface microroughness of glass substrate as a function of etch rate. Minimum etch rate to trigger increase of surface microroughness is found to depend on etch depth. In this experiment, the glass substrate is immersed into the etchant to be etched. When the glass substrate is etched by 50 mm, as shown in Figure 4.97, surface microroughness starts to increase as the etch rate exceeds 2000 nm/min even if acid is spiked with its optimum concentration in terms of cation solubility. In the case of etching in which the etchant is stirred, or in the case of shower-type etching adopted in the current LCD manufacturing process, however, reaction products get diffused from the etching interface more smoothly than in the case of simple immersion, which will raise minimum etch rate to trigger surface microroughness increase.
F. CONCLUSION Addition of Hþ ion to HF solution or BHF solution is found effective in performing uniform etching without increasing the surface microroughness on glass surface because Hþ affects acid dissociation reaction of HF and eventually reduces F2 concentration, which suppresses formation of hardly soluble fluoride products. By controlling concentration of Hþ ions at an optimum level, solubility of cations derived from glass substrate can be raised and increase of surface microroughness can be suppressed. The optimum Hþ addition concentration, regardless of initial composition of HF solution or BHF solution, also brings down the etch rate of thermal SiO2 film to its minimum. Depending on the allowable range of surface microroughness increase after etching, a range of Hþ addition concentration can be determined. To improve solubility of cations derived from the glass substrate and control surface microroughness it is also important to modify the cation species in the glass substrate. By eliminating the hardly soluble cation species in glass composition, it will become possible to select an acid to be added from a wider variety of acid types and to prepare various etchants whose composition is optimized to precisely meet the purpose of use.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
238
The new etchants to uniformly etch glass substrate will have various potential applications in TFT-LCD manufacturing process. For example, the glass substrate is etched with the new etchants to fabricate patterns to which TFT-LCD wiring is embedded. With this structure, it will become possible to further reduce power consumption and enhance high-resolution [26,27]. The new etchants can enable LCD manufacturers to design thinner displays. They can also be used to process cover-cap glass to close up for OLED.
REFERENCES 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24.
G.H. Heilmeir, et al., Appl. Phys. Lett., 13, 46 – 47, 1968. M. Schadt, et al., Appl. Phys. Lett., 18, 127 – 128, 1971. T.P. Brody, in: Proceedings of the National Electronics Conference, pp. 309 – 315, 1970. T. Ohmi, Sipec Crop., 2001, pp. 1 – 2. Y. Kasama, S. Seki, and Y. Fukui, Sipec Crop., 2001, pp. 94 – 103. H. Kikuyama, T. Ohmi, et al., IEEE Trans. Semicond. Manuf., 4, 26 – 35, 1991. G.A.C.M. Spierings, J. Mater. Sci., 28, 6261– 6273, 1993. H. Kikuyama, T. Yabune, T. Ohmi, et al., J. Electrochem. Soc., 141, 366 – 374, 1994. D.J. Monk, et al., J. Electrochem. Soc., 140, 2339– 2346, 1993. A.M. Miri, et al., in: Proceedings of ICM 2000, pp. 241 – 246, 2000. R.E. Mesmer and C.F. Baes Jr., Inorg. Chem., 8, 618 1969. J.S. Judge, J. Electrochem. Soc., 118, 1772, 1971. H. Seifert, R. Buhl, and K.F. Seifert, Koloid Zeitschrift, 141, 146, 1955. W. Hertl and M.L. Hair, J. Phys. Chem., 75, 2181, 1971. P. Sennett, and J.P. Olivier, I & EC, 57, 33, 1965. H.S. Fogler, K. Lund, and C.C. McCune, Chem. Eng. Sci., 30, 1325, 1975. H.H. Born and M. Prigogine, J. Chem. Phys., 76, 538, 1979. M. Prigogine and J.J. Fripiat, J. Chem. Phys., 76, 26, 1979. W.E. Kline and H.S. Fogler, J. Colloid Interface Sci, 82, 103, 1981. W.E. Kline, Ph.D. Thesis, University of Michigan, 1980. W.E. Kline and H.S. Fogler, Ind. Eng. Chem. Fundam., 20, 155, 1981. B.K. Iler, Wiley, New York, 1979. G.W. Trucks, K. Raghvachari, G.S. Higashi and Y.J. Chabal, Phys. Rev. Lett., 65, 504, 1990. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, IEEE, Trans. Semicond. Manufact., 4, 26– 35, 1991. 25. J.B. Fenn, M. Mann, C.K. Meng, S.F. Wong, and C.M. Whitehouse, Mass Spectrometry Reviews, Vol. 9, John Wiley & Sons, Inc., 37– 70, 1990. 26. T. Ohmi, N. Sugawa, M. Hirayama, in: 11th FPD Manufacturing Technology Expo & Conference, pp. 6 – 15, 2001. 27. T. Ohmi, Forum of Semiconductor Industrial News Paper, October 2001.
VIII. EXTREMELY LOW ETCH RATE CONTROL TECHNOLOGY/AFM CALIBRATION TATSUHIRO YABUNE MASAYUKI MIYASHITA HIROHISA KIKUYAMA JUN TAKANO
A. INTRODUCTION Along with the increase of ULSI device density, dry etching has become a mainstream technology in etching process. Accordingly wet process comes to play an important role in the post-dry-etching
Etching of Various SiO2
239
cleaning step. Now that majority of contact holes have multilevel structure composed of various types of ILDs (interlevel dielectric), cleaning of sidewall and bottom of these contact holes casts challenging problems. One of the problems is difference in etch rate among various types of dielectric films [1 – 3]. A new BHF solution has been developed to clean contact holes with multilevel structure. The BHF solution comprises high-concentration NH4F and low-concentration HF and a jodiciously selected surfactant is spiked. The conventional BHF solution may have a problem in terms of chemical stability or etch rate stability because its NH4F concentration is high [4,5]. System LSI often has multiple gate oxides with different thickness on a chip. To fabricate system LSI, etching step may be expected to control and adjust the thickness of gate oxide. To meet these future needs, it will become critical to develop a new etchant featuring extremely low etch rate and sufficiently stable etch rate. In the current ULSI manufacturing process, cleaning solutions featuring thermal-SiO2-equivalent etch rate of 10 to 30 A˚/min at 20– 258C are used to clean contact holes with multilevel structure and to adjust the thickness of multiple gate oxides on system LSI. HF solution is also used for these purposes, and it is often thrown away after being used once. In the future technology nodes, the etchant will be required to achieve extremely low etch rates. It is very important, therefore, to develop a new etchant featuring extremely low etch rate and high stability to maintain the low etch rate over time.
B. STUDY OF ETCHING REACTION
TO
DEVELOP ETCHANT FEATURING EXTREMELY LOW ETCH RATE
Here are etching reactions between SiO2 and HF solution or BHF solution. 1. Etching reaction between SiO2 and HF solution can be expressed as: 6HF þ SiO2 ! H2 SiF6 þ 2H2 O
(4:32)
This equation indicates that 6 mol of HF are consumed to etch 1 mol of SiO2. 2. Etching reaction between SiO2 and BHF solution can be expressed as: 4HF þ 2NH4 F þ SiO2 ! (NH4 )2 SiF6 þ 2H2 O
(4:33)
The equation indicates that 4 mol of HF are consumed to etch 1 mol of SiO2. While focusing on these two equations, the authors studied potential chemical composition of etchant to realize stable etch rate with limited variance. Equation (4.32) indicates that etching 1 mol of SiO2 consumes 6 mol of HF regardless of initial composition of HF solution. Also, Equation (4.33) indicates that etching 1 mol of SiO2 consumes 4 mol of HF and 2 mol of NH4F regardless of initial composition of BHF solution. It is assumed that composition of BHF solutions is diluted as the chemical species in the solution are consumed through etching reaction if BHF solution with initial composition of HF:NH4F ¼ 2:1 in molar ratio is used. The same assumption applies to HF solution. In an attempt to verify this assumption, the authors measured the etch rate on thermal SiO2 film in BHF with fixed molar ratio between HF and NH4F of 2:1 (hereunder referred to as 2:1 BHF) while changing the composition of 2:1 BHF precisely in accordance with Equation (4.33). Actually 2:1 BHF with HF concentration of 0.2 mol/kg was diluted with UPW to exactly follow the Equation (4.33) reaction. For comparison, the authors got SiO2 of varied amounts dissolved into these different 2:1 BHFs to simulate 2:1 BHFs whose components, namely HF and NH4F, were consumed as a result of the etching reaction, and measured etch rate on thermal SiO2 film in these 2:1 BHFs. Figure 4.98 compares these two cases in terms of relationship between etch rate on thermal SiO2 film and HF concentration as well as amount of SiO2 added. SiO2 powder was used to simulate SiO2 dissolution.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
240
SiO2 Addition Concentration (mol/kg)
Etch Rate of Thermal SiO2 at 25°C (Å/min)
0.05 45
0.4375 0.0375 0.03125 0.025 0.01875 0.0125 0.00625
y = 290.05x2 + 158.99x - 3.1134 R2 = 0.9994 y: Etch Rate x: HF Conc.
40 35 30
0
Initial composition (Before Addition of SiO2)
Diluted with UPW After Addition of SiO2 (Considering of purity)
25 20 15 10 5
1Å/min
0 0
0.025 0.05
0.075 0.1 0.125 0.15 HF Concentration (mol/kg)
0.175
0.2
FIGURE 4.98 Etch rate as a function of HF concentration in BHF when SiO2 gets dissolved in 2:1 BHF solution.
In Figure 4.98, S represents etch rate measured on thermal SiO2 film as a function of HF concentration in 2:1 BHFs, whose composition is assumed to change theoretically in accordance with Equation (4.32). These compositions of 2:1 BHFs are prepared by diluting 2:1 BHF composed of 0.2 mol/kg HF and 0.1 mol/kg NH4F with UPW. In Figure 4.98, † represents the relationship between etch rate and HF concentration (calculated on the basis of the amount of SiO2 added) in 2:1 BHF composed of 0.2 mol/kg HF and 0.1 mol/kg NH4F. Purity of used SiO2 powder is 89.1%, and SiO2 addition was determined by giving consideration to its purity. Similarly, Figure 4.99 compares relationships between calculated and measured etch rate and HF concentration in HF solution. The result is quite different from that for 2:1 BHF. For HF solution, etch rate is considerably different between when it is calculated in accordance with Equation (4.32) and when it is measured in HF solution to which SiO2 is added. (SiO2 concentration was determined by giving consideration to its purity.)
FIGURE 4.99 Etch rate as a function of HF concentration when SiO2 gets dissolved in HF solution.
Etching of Various SiO2
241
Usually SiO2 etching in HF solution is considered to be expressed as: SiO2 þ 6HF ! H2 SiF6 þ 2H2 O
(4:32)
In reality, however, H2SiF6 generated in this etching reaction goes through acid dissociation reaction shown below in HF solution because it features higher dissociation equilibrium constant than HF, which eventually suppresses acid dissociation reaction of HF. H2 SiF6 ! 2Hþ þ SiF2 6 These experimental results indicate that it is not possible in low-concentration HF solution to keep the etch rate constant over time because even small amount of generation of etching reaction products affects etch rate. Similarly, relationships between calculated and measured etch rate and HF concentration were compared. For BHF solutions with different molar ratios. In this experiment, two types of BHF solution were tested: BHF solution with molar ratio between HF and NH4F of 1:1 and BHF solution with the molar ratio of 1:2. In the two BHF solutions as well as 2:1 BHF shown in Figure 4.98, all of which feature low etch rate, etching of 1 mol/kg SiO2 is proven to consume 4 mol/kg HF and 2 mol/kg NH4F. Next the authors studied the relationship between HF concentration and etch rate on thermal SiO2 film. Four different etchants were tested, all of which feature extremely low etch rate of ˚ /min or less at an etching temperature of 258C: (1) HF solution, (2) BHF solution with equi5A molar composition (hereunder referred to as 1:1 BHF), (3) 2:1 BHF, and (4) BHF solution with a molar ratio of 1:2 (hereunder referred to as 1:2 BHF). Figure 4.100 –4.103 show the experimental results. These figures shows similar curves with positive slope. Figures 4.100 –4.103 also show the etch rate on thermal SiO2 film as a function of HF concentration varied because of change in composition caused by evaporation. The evaporation test was ˚ /min at the etching temperaperformed for four etchants, all of which feature an etch rate of 1.0 A ture of 258C: (1) HF solution, (2) 1:1 BHF, (3) 2:1 BHF, and (4) 1:2 BHF. The evaporation test was conducted in cleanroom with temperature and relative humidity controlled at 238C and about 50%, respectively. On evaporation area of 78.5 cm2, a solution of 500 g at a fixed liquid temperature of
Etch Rate of Thermal SiO2 at 25°C (Å/min)
3 2.5
HF Etch Rate Change by Evaporation
2 1.5 Initial
After 120 Hrs
1 0.5 0 0.020
0.025
0.030 0.035 0.040 HF Concentration (mol/kg)
0.045
0.05
FIGURE 4.100 Etch rate as a function of HF concentration in HF solution: HF concentration kept changing ˚ /min. due to evaporation: Etch rate of HF solution is 1.0 A
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
242
Etch Rate of Thermal SiO2 at 25°C (Å/min)
3.5 BHF (molar ratio = 2:1) Etch Rate Change by Evaporation
3 2.5 2 1.5
Initial
After 120 Hrs
1 0.5 0 0.015
0.02
0.025 0.03 0.035 HF Concentration (mol/kg)
0.04
0.045
FIGURE 4.101 Etch rate as a function of HF concentration in 2:1 BHF:HF concentration kept changing ˚ /min. because of evaporation. Etch rate of HF solution is 1.0 A
258C was left still for 120 hr. At several times during the 120-hr evaporation, each etchant was sampled. After measuring its HF concentration, the sampled etchants were used to etch thermal SiO2 film to measure the etch rate. As in usual, the pattern of evaporation is greatly affected by ambient conditions. In this series of experiments which were performed under the same conditions, the relationship between HF concentration and etch rate in etchants after evaporation shows a good agreement with that in prepared etchants. This means only water was evaporated at least for the first 120 hr of evaporation. In other words, it was demonstrated that evaporation only prompted etchants to be concentrated. Under the evaporation conditions, only water got evaporated while the molar ratio between HF and NH4F of 2:1 remained unchanged in 2:1 BHF. ˚ /min at For HF solution and BHF solutions featuring an etch rate on thermal SiO2 film of 1.0 A 258C, the authors studied a drop in the etch rate as a result of HF and NH4F consumption in the etching reaction and rise of etch rate as a result of concentration through evaporation. Figure 4.104 shows the results.
Etch Rate of Thermal SiO2 at 25°C (Å/min)
4 3.5
BHF (molar ratio = 1:1) Etch Rate Change by Evaporation
3 2.5 2 1.5
Initial
After120 Hrs
1 0.5 0 0.010
0.015
0.020
0.025
0.030
0.035
0.040
HF Concentration (mol/kg)
FIGURE 4.102 Etch rate as a function of HF concentration in 1:1 BHF:HF concentration kept changing ˚ /min. because of evaporation. Etch rate of HF solution is 1.0 A
Etching of Various SiO2
243
4.5 BHF (molar ratio = 2:1) Etch Rate Change by Evaporation
Etch Rate of Thermal SiO2 at 25°C (Å/min)
4 3.5 3 2.5 2 1.5
Initial
After 120 Hrs
1 0.5 0 0.01
0.015
0.02 0.025 HF Concentration (mol/kg)
0.03
0.035
FIGURE 4.103 Etch rate as a function of HF concentration in 1:2 BHF: HF concentration kept changing ˚ /min. because of evaporation. Etch rate of HF solution is 1.0 A
Numerical values (mol/kg) in Figure 4.104 represent the length of consumption line from ˚ /min is plotted to an intersection point on the y-axis where etch where initial etch rate of 1.0 A ˚ rate gets to 0 A/min (0% HF concentration). For HF solution, however, the numerical value is calculated by giving considerations to the fact that etching 1 mol/kg of SiO2 consumes 6 mol/kg HF. These numerical values, therefore, are considered to be proportional to the remaining amount of SiO2 to be etched. Or they can be regarded as reciprocals of ratio of etch rate drop against change of HF concentration. The larger these values are, the lesser the etch rate changes against consumption of chemical species in the etching reaction, and therefore the more stable the etch rate is. Among the four etchants tested in our study, 2:1 BHF is found to feature the most stable etch rate.
0.040 Concentration Line by Evaporation 1.4
8
Å/m
0.020
F f BH ed m ine o on L re consu ti p sum Fa Con nd NH 4 ratio) r a (HF 2:1 mola with
in
Å/m
0.025
in
1.0
0.017
Å/m
0.030
0.6 in
NH4F Concentration (mol/kg)
0.035
10
0.02
0.015 0.010
HF 2:1BHF 1:1BHF 1:2BHF
49
0.02
0.005
0.0210 Consumption Line of HF
0.000 0.000
0.005
0.010
0.015
0.020
0.025
0.030
0.035
0.040
HF Concentration (mol/kg)
FIGURE 4.104 Drop of etch rate because of HF and NH4F consumption in etching reaction and rise of etch rate because of concentration through evaporation for HF solution and BHF solutions featuring etch rate on ˚ /min at 258C. thermal oxide of 1.0 A
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
244
For evaporation, it is only water which gets evaporated in every etchant. This means that these etchants get concentrated along with evaporation. Change in etchant composition due to evaporation, therefore, can be expressed with vectors in Figure 4.104 which connect the origin and plotted compositions (solid square, solid triangle and solid diamond) to achieve an etch rate of ˚ /min. 0.1 A On the basis of the above-described experimental results, it is concluded that ideal composition of etchant can be determined by finding a combination of the vector ( ) sloping down to the left and the upward sloping vector ( ! ) whose sum is minimal as long as the etching conditions remain the same and only the water in the etchant gets evaporated to let the etchant be concentrated. In reality, however, reaction products do affect the etching reaction as in etching with HF solution. Some considerations should be given, therefore, to effects of reaction products. These experiments reveal that only concentration due to evaporation and dilution due to the etching reaction are the only events that the proceed in 2:1 BHF. If the initial amount of the etchant, evaporation rate, and amount of etched SiO2 are given, it will be possible to find out the composition and the quantity of 2:1 BHF to be replenished per unit time. This implies possibility to establish a specific system to manage an etchant featuring low etch rate in the most stable manner by studying its concentration (i.e., change in composition) due to evaporation and dilution (i.e., consumption of chemical species) due to etching reaction for a target film to be etched. The authors carried out a simulation on the change in etch rate on thermal SiO2 film in 2:1 BHF. Assumptions used in the simulation are as follows: . .
. .
˚ /min at 258C. The initial etch rate of the 2:1 BHF is 1.0 A Thermal SiO2 film is etched under the above-mentioned evaporation conditions and at fixed etching conditions. During this etching step, 2:1 BHF of a fixed composition is added every hour. Noting the amount of H2O generated, the authors decided the composition of replenishment of 2:1 BHF in accordance with the remaining 2:1 BHF as and the amount of evaporated water, replenishement was worked out.
Figure 4.105 shows the simulation results. It is speculated, based on Figure 4.105, that etch rate ˚ /min under the above conditions. can be kept almost constant at 1.0 A 1.020 Etch Rate of Thermal SiO2 at 25°C (Å/min)
2:1BHF (HFconc.=0.02275[mol/kg]) After Treatment
1.015
Condition of Treatmennt Evaporation Area: 9000 (cm2) Volume of Etch Bath: 27000 (L) Evaporation Rate: 127.65(g/h) Etch Amount: 0.08549 (g/(Lday) =0.00356 (g/L.h)) Consume Rate of HF: 0.0064(mol/h) Consume Rate of NH4F: 0.0032(mol/h) Generate Rate of H2O: 0.0032(mol/h)
2:1BHF (HF conc.=0.05018[mol/kg]) After Recovery
1.010 1.005 1.000
Recovery of Composition by Addition of 2:1BH
Dicrease of Etch Rate by Evaporation and reaction
0.995 0.990 0.985 0
10
20
30
40
50
60
70
80
90
100
Time (h)
˚ /min when FIGURE 4.105 Simulated etch rate of thermal oxide in 2:1 BHF with initial etch rate of 1.0 A 2:1 BHF of a fixed composition is replenished regularly.
Etching of Various SiO2
245
Etch Rate of Thermal SiO2 at 25°C (Å/min)
1.25
Calculate Value (Before supplement) Calculate Value (After supplement) Measurement Value (Before supplement) Measurement Value (After supplement)
Supplement: 2:1BHF (HF Conc. 0.1833(mol/kg))
1.15 1.05
1.012 1001
1.008
1.003 1.000
0.95
1.000
1.000
1.000
0.9592 0.9141
0.8743
0.85 0.75 0.65 0.55
0.501
0.501
0.45
0.501
0.4801
0.4718
0.421
0.3656
0.35 24
0
48
0.501
0.501
0.486
72 Time (h)
96
120
FIGURE 4.106 Etch rate fluctuation when 2:1 BHF is replenished in a way to compensate loss of chemical species because of evaporation and consumption in etching reaction.
In an attempt to verify the simulation results, the authors conducted an experiment by using 2:1 BHF. Figure 4.106 shows the experimental results. In this experiment, SiO2 powder was added to 2:1 BHF instead of actually etching SiO2 film. Figure 4.106 indicates that chemical replenishment based on the theoretical assumption is not effective enough to maintain a constant etch rate. One of the potential reasons is that etching reaction in 2:1 BHF may produce H2SiF6, just like etching with HF solution, as 2:1 BHF contains excessive HF, as shown in Equation (4.32). H2SiF6 is highly soluble and generates Hþ ion when dissociated in BHF as follows: H2 SiF6 ! 2Hþ þ SiF2 6 The generated Hþ may affect acid dissociation equilibrium reaction of HF to change the etch rate [6 – 9]. In an attempt to verify this assumption, the authors conducted etching with 2:1 BHF in a closed environment so as to focus only on the effects of chemical species consumption because of etching reaction. Figure 4.107 shows the experimental result. The same experiment in a closed environment 1.100 Addition Weight at each 6 hrs
Measurement
Etch Rate of Thermal SiO2 at 25°C (Å/min)
1.050
2:1BHF(HF conc.=1.996[mol/kg]) SiO2 Powder (purity 89.06%)
Calculate
1.000
0.7129[g] 0.024[g] 2:1 BHF
0.944
0.950 0.939 0.939 0.934
0.954 0.935
0.940 0.941 0.925
0.928
0.927
0.939 0.937 0.936 0.934 0.933 0.931 0.930 0.928 0.927 0.900
0.924
0.927
0.850 0.807 0.808 0.804 0.807 0.801
0.794
0.805 0.804 0.802 0.801 0.800 0.799 0.797 0.796
0.793
0.791
60
72
0.750 0.700
0
0.808
0.791 0.796 0.799
0.800
12
24
36
48
Time (h)
FIGURE 4.107 Change of etch rate in 2:1 BHF when etching is performed in a closed environment.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
246 1.100
Addition Weight at each 6hrs
Measurement
Etch Rate of Thermal SiO2 at 25°C (Å/min)
1.050
2:1BHF (HF conc.=1.996[mol/kg]) 0.7129[g] 0.024[g] SiO2 Powder (purity 89.06%)
Calculate
1.000
1:2 BHF 0.942
0.950 0.909
0.916
0.888
0.910
0.892
0.912 0.901 0.893
0.889
0.882
0.891
0.889
0.745 0.737 0.731 0.739
0.726
0.723
0.753 0.752 0.750 0.750 0.749 0.748 0.747 0.746
0.744
0.742
60
72
0.900
0.904 0.903 0.901 0.900 0.899 0.898 0.896 0.895 0.894
0.850 0.800
0.768 0.763 0.756
0.744
0.750 0.700 0
12
24
36 Time (h)
48
FIGURE 4.108 Change of etch rate in 1:2 BHF when etching is performed in a closed environment.
was performed for 1:2 BHF in which NH4F concentration was kept in excess over HF concentration. Figure 4.108 shows this experimental result. It should be noted that, as shown in Figure 4.107 and Figure 4.108, etch rate on thermal SiO2 ˚ /min. In the both the experiments, SiO2 powder was film at initial composition is lower than 1.0 A added to etchants instead of actually etching SiO2 film, just like the experiment shown in Figure 4.106. In neither experiment, etch rate can be kept perfectly constant. Even after 72 hr of etching, however, etch rate is kept close to its theoretical value in both etchnants. ˚/ It has been demonstrated that, even in 2:1 BHF with such extremely low etch rate (0.939 A min), etching of 1 mol/kg SiO2 consumes 4 mol/kg HF and 2 mol/kg NH4F. The same applies to 1:2 BHF in which NH4F concentration is in exces over HF concentration. This means that adding 2:1 BHF to replenish the etchant is an effective method to maintain its initial composition while the etching reaction consumes chemical species. This method is also found applicable to all BHF compositions currently adopted in wet etching and wet-cleaning steps. In the actual process step, however, the etchant is always subject to evaporation as mentioned above. It is essential to find a way to control evaporation. When the etchant with extremely low etch rate is studied, it is important to use as much as possible oxide (dielectric film) and Si substrate of the same quality as those in actual manufacturing process, or otherwise the etch rate may not be evaluated correctly. As a standard test sample, the authors recommend to use thermal oxide grown on genuine Si substrate.
C. CONCLUSION In ULSI manufacturing process in future technology nodes, etchant featuring extremely low etch rate is expected to be adopted. If such an etchant can be used in a stable way for a long time, it will contribute to reduce the cost to handle chemical wastes and other manufacturing costs. ˚ /min has not yet been established, Although a technology to perfectly maintain the etch rate at 1.0 A the concept of replenishment proposed in Chapter 6 can be applied to HF solutions and BHF solutions which are commonly used in current manufacturing process. To be more specific, the authors propose to add 2:1 BHF (molar concentration ratio between HF and NH4F of 2:1) with composition corresponding to chemical species consumed in etching to replenish the etchant.
Etching of Various SiO2
247
There is still a gap between the etch rate achieved in our experiments and theoretically calculated etch rate, which is attributed to evaporation of the etchant. The authors plan to find a way to perfectly control the ambient conditions so as to control the evaporation behavior. Another challenge is generation of the reaction products. When the etchant is used for a long time, the products get accumulated in the etchant, which may affect the etch rate. It is important to study how to remove the reaction products. Specifically removal of SiF22 ion in the etchant is essential to 6 address this challenge. One of the potential solutions is to spike highly soluble fluoride and generate hardly soluble SiF6 salt to remove. For example, when KF or NaF is spiked to the etchant, hardly soluble K2SiF6 or Na2SiF6 is generated. Then these salts can be easily removed, for example using filtration. The authors intended to study a potential application of this removing mechanism to ion exchange resin and so on to develop an effective removal system. Our ultimate goal is to develop an effective system to recover and reuse fluorine. Although our study reported in this section focused just on the etch rate on SiO2, it is possible, in principle, to apply the concept to BPSG and other films. If film composition is given, it will be possible to examine reaction products and identify the type and quantity of the chemical species consumed in the etching reaction. On the basis of this information, we can determine the composition of using the etchant and that of the etchant to be added for replenishment.
D. STANDARDIZATION
OF
AFM CALIBRATION METHOD
STM and AFM are used to measure surface microroughness of Si substrate. STM was developed by Bining et al. of IBM Research Institute in 1981 with the Nobel Prize in Physics in 1986. STM surface profile is in atomic-order resolution as tunneling current between the sample and a cantilever tip is measured. Unfortunately, however, STM had limited applications: it was only able to evaluate conducting materials. In order to overcome this drawback, Bining, Quate, and Berber came up with an AFM for measuring insulating materials as well. AFM is a kind of scanning probe microscope. It scans the sample surface with its cantilever while the cantilever detects atomic force. Atomic force is converted into an electrical signal to observe the surface profile. AFM is useful in measuring surface microroughness of the nanometer to sub-nanometer range. It was difficult, however, to compare AFM measurement data between users as a method to calibrate height (z-axis) of AFM was not clearly established. Using a reference Si substrate whose surface has sub-nanometer steps of SiO2 film is proposed as a method to calibrate the height of AFM [10]. Thermal Oxidation (wet) Si Substrate
1. RCA Cleaning 2. Thermal Oxidation (wet) 3. Etching with BHF 4. Thermal Oxidation (Dry) 5. Lithogragh 6. Wet etching 7. Resist remove
Thermal Oxidation (Dry)
Resist
FIGURE 4.109 The process flow to fabricate the reference Si substrate with standard step height.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
248
120
Etched Depth (Å)
100 80 60 40 20 0 0
20
40 60 80 Etching Time (min)
100
FIGURE 4.110 Etching depth as a function of etching time.
Figure 4.109 shows a process for reference fabrication with a standard step height of SiO2 film. First, thermal SiO2 film is grown on Si substrate. Steps are fabricated on the thermal of SiO2 film with high-precision wet etching. Step height is adjusted by changing the duration of wet etching. Through this process, a reference Si substrate with steps varied in height from sub-nanometer to 10 nm is fabricated. To calibrate AFM, this reference Si substrate is measured with AFM, and
100Å
100Å
100Å
2µ m
2µ m
div
2µm
div
101.6Å
2µ m
2µm
div
90.5Å
div
0Å
33.7Å 100Å
100Å
iv
2µm
div
79.5Å
2µ md
5.0Å 100Å
2µ m
div
100Å
div
46.0Å 100Å
2µm
12.8Å 100Å
2µ m
div
100Å
div
56.9Å 100Å
100Å
22.9Å
67.2Å
114.2Å
2µm
div
2µm d
iv
FIGURE 4.111 AFM image and relevant depth measured with ellipsometer (depth values on the upper right are those measured with ellipsometer).
Etching of Various SiO2
249 35
PZT scanner output value (V) (step height measured with AFM)
30
25
20
15
10
5
0
0
20
40
60
80
100
120
Etched Depth measured with Ellipsometer (Å)
FIGURE 4.112 Relationship between etching depth measured with ellipsometer and step height measured with AFM which is expressed as PZT scanner output.
the sensitivity of PZT scanner in this measurement is to be calibrated with the etching depth measured with an ellipsometer. In order to achieve a step height with high precision, thermal SiO2 film is etched with BHF fea˚ /min [11]. Figure 4.110 shows etching depth as a function of etching turing etch rate of about 1 A
20
Measurement Value of AFM (nm)
×
Si Step Ellipsometer Step profiler
15
10
5
0 0
5
10
15
20
Step height of standard sample (nm)
FIGURE 4.113 Relationship between step height of standard sample measured with ellipsometer and step profile-meter (and Si atomic step height), and those measured with AFM.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
250
time when this BHF is used. This figure indicates that etching depth is precisely controlled by etching time. Figure 4.111 shows AFM image and relevant depth measured with ellipsometer (values on the upper right are those measured with ellipsometer). Figure 4.112 shows the relationship between the etching depth measured with ellipsometer and the step height measured with AFM which is expressed as PZT scanner output. For this PZT scanner output value, a good linearity is ˚ to less than 10 A ˚. achieved between displacement and voltage in the region from 100 A Another method for AFM height calibration is proposed [12,13]. This method is based on bond distance of Si crystal. The surface with a step profile is fabricated by electrically heating Si crystal ˚ height is used with orientation of (1 1 1) in high vacuum ambience. In this case, a step of about 3 A as a reference step. When measured with AFM, the values measured on this reference Si crystal are found in a good agreement with those on the above-mentioned reference Si substrate with thermal SiO2 film (Figure 4.113). The hatched region in Figure 4.113 represents error of +10% against the true value. The measured values are well within error of +5%. AFM is a very effective technique to measure surface microroughness. However, unless an accurate calibration is conducted against AFM, however, data measured with AFM can not be properly compared between users. By standardizing the above AFM calibration methods, it will become possible for users to compare their measured values as absolute values in the sub-nanometer region. It will be increasingly important to standardize methods to calibrate new measuring instruments such as AFM in the future.
REFERENCES 1. M. Miyashita, T. Ohmi, T. Yabune, and H. Kikuyama Perfect controlled etching for wall of contact hole, in: Extended Abstracts of the 196th Meeting of Electrochemical Society, Hawai, 1999. 2. M. Miyashita, in: Proceeding of 10th Microelectronics Conference, 1999. 3. T. Ohmi, M. Miyashita, M. Itano, T. Imaoka, and I. Kawanabe, Dependence of thin-oxide films quality on surface microroughness, IEEE Trans. Electron Dev., 39, 537 – 545, 1992. 4. M. Miyashita, H. Kikuyama, H. Izumi, K. Yamane, T. Kujime, and T. Ohmi, in: Proceeding of 25th Symposium on ULSI Ultra Clean Technology, Tokyo, pp. 76 – 85, 1995. 5. T. Yabune, H. Kikuyama, M. Miyashita, and T. Ohmi, BPSG etching for semiconductor manufacturing, in: Extended Abstracts of the 196th Meeting of Electro-chemical Society, Hawai, 1999. 6. S.H. Harrell and J.R. Peoples, SiO2 etch rate in HF solution, J. Electrochem. Soc., Extended Abstracts, 112, 1965. 7. J.S. Judge, A study of the dissolution of SiO2 in acidic fluoride solutions, J. Electrochem. Soc., 118, 1971. 8. J.S. Judge, in: Proceedings of the Symposium on Etching for Pattern Definition, ECS, Princeton, NJ, 1976. 9. C.R. Tellir, J. Mater. Sci., 17, 1982. 10. T. Ohmi and S. Aoyama, Appl. Phys. Lett., 61, 2479, 1992. 11. H. Kikuyama, M. Waki, M. Miyashita, T. Yabune, N. Miki, J. Takano, and T. Ohmi, A study of the dissociation state and SiO2 etching reaction for HF solution of extremely low concentration, J. Electrochem. Soc., 141, 366, 1994. 12. M. Suzuki, Y. Kudou, Y. Homma, and R. Kaneko, Appl. Phys. Lett., 58, 2225– 2227, 1991. 13. M. Suzuki, et al., in: Proceedings of the 25th Symposium on ULSI Ultra Clean Technology, Tokyo, p. 199, 1995.
5
Silicon Etching Kenichi Mitsumori Alps Electric Co., Ltd., Sendai, Japan
Nobuhiko Inoue Oki Electric Industry Corporation, Tokyo, Japan
CONTENTS I. Silicon Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Etching Mechanism of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Etchant and Etching Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Experimental Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Sample . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Reagents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . c. Evaluating Equipments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . d. Etching Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. HF:HNO3:CH3COOH-Type Etchant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Degradation of Photoresist and Effects on Silicon Surface . . . . . . . 3. HF:HIO3-Type Etchant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Oxidation Power of HIO3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Etching Features of HF:HIO3-Type Etchant . . . . . . . . . . . . . . . . . . . c. Etching Homogeneity of HF:HIO3-Type Etchant . . . . . . . . . . . . . . . d. Stability of HF:HIO3-Type Etchant . . . . . . . . . . . . . . . . . . . . . . . . . . e. Selective Etching Features with Plasma CVD-SiNx Thin Films . . . f. Reaction to I2 (Reaction Product) . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Anodic Reaction of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. SOI Wafer Production Process by using Anodic Reaction of Silicon . . . . . . . 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. SOI Wafer Production using Anodic Reaction of Silicon (ELTRAN) . . . C. Precise Control of Anodic Reaction of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Formation Mechanism of Porous Silicon and Improvement on Surface Morphology . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Discussion on Anodic Reaction of Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
252 252 252 253 253 253 253 253 253 253 253 255 255 257 258 259 260 260 262 263 263 263 264 264 264 266 266 266 269 270 270
251
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
252
I. SILICON ETCHING KENICHI MITSUMORI
A. INTRODUCTION In the large-scale industry (LSI) manufacturing process, the wet process that uses ultrapurified water and chemicals increasingly plays an important role even today when devices are becoming more integrated, more sophisticated, and more reliable. Because the plasma process, which is extensively used in microfabrication, has less control in preventing the damage to surface of solids now, the wet processes are added on for each dry process. In the past, the wet process of silicon has made heavy use of the etchant that is basically composed of hydrofluoric acid (HF) and nitric acid (HNO3) with acetic acid (CH3COOH) as a solvent. However, because of the strong permeability of HF into the photoresist, damage to the photoresist by nitric acid and acetic acid, and high heat generation by reaction between the HF:HNO3: CH3COOH-type etchant and silicon, this etchant could not achieve micro and homogeneous fabrication that requires high controllability. Recently, the liquid crystal display equipped with practical thin-film transistor (TFT) using amorphous silicon (a-Si:H) and polysilicon (poly-Si) has been developed [1]. The TFT array, the key device of this display, is formed by repeating thin-film formation, photolithography, and etch process on a clear glass substrate. For each pixel that makes up liquid crystal displays, at least one TFT is built in it, and in overall area, from hundreds of thousand to millions of TFTs in total must operate as designed. In the TFT array, contrast to the LSI, the depth to be processed is 200 –300 nm at the most. Therefore, the device of 1-mm design rule can be processed sufficiently in the wet process of isotropic etching. Essentially, the wet process has significantly good controllability in combination with management of temperature and composition of liquid solutions. And wet etch apparatuses are low cost, from a fifth to a tenth of the cost of current dry etch apparatuses. Now, etchants and etching process are considered to have solved problems of the HF:HNO3: CH3COOH-type etchant, including the strong permeability into photoresist, damage to photoresist by nitric acid and acetic acid, and high heat generation by reaction.
B. ETCHING MECHANISM
OF
SILICON
The etching of silicon (Si) has two steps: oxidative reaction with oxidants and dissolution reaction of silicon oxide (SiO2) films with HF [1 – 5]. In the HF:HNO3:CH3COOH-type etchant, Si is etched with the oxidative reaction and the subsequent dissolution reactions are: 3Si þ 4HNO3 ! 3SiO2 þ 4NO þ H2 O SiO2 þ 6HF ! H2 SiF6 þ 2H2 O As a whole, the reaction formula will be as follows: 3Si þ 4HNO3 þ 18HF ! 3H2 SiF6 þ 4NO þ 8H2 O However, this etchant uses far more volume of nitric acid than is expected from this formula. Further, as this etchant generates intense heat and hazardous NO gas, silicon processing becomes accurate. Therefore, large quantity of acetic acid is added as a buffer. The new etchant has been developed to use iodic acid as an oxidant instead of the questionable nitric acid because iodic acid has much oxidation power even though it is less stable and only a low concentration is possible in water solution. The etching mechanism is as follows. H2SiF6 is
Silicon Etching
253
completely recyclable [6]. 5Si þ 4HIO3 ! 5SiO2 þ 2I2 þ H2 O SiO2 þ 6HF ! H2 SiF6 þ 2H2 O As a whole, the reaction formula will be as follows: 5Si þ 4HIO3 þ 30HF ! 5H2 SiF6 þ 2I2 þ 12H2 O
(5:1)
C. ETCHANT AND ETCHING FEATURES 1. Experimental Condition a. Sample The a-Si for measuring etching rate used a-Si:H (about 300 nm) formed by plasma enhanced chemical vapor deposition (PECVD) method on the glass substrate (Corning #7059). The monocrystal Si used mirror polished wafers of plane direction (1 0 0), p-type (B doped), and specific resistance 0.5– 3 V cm. b. Reagents An amount of 49 wt.% HF (EL grade), 70% HNO3 (EL grade), HIO3 (reagent high grade), I2 (reagent high grade), and glacial CH3COOH (EL grade) were used. As for used purified water, the specific resistance was 18 MV cm or more and TOC was 1 ppb or less. c. Evaluating Equipments The etching volume was measured with the stylus method thickness meter (DEKTAK3030; Salon). The I2 concentration of the reaction products in the etchant was determined by the visible absorption spectrum of the visible– ultraviolet spectrophotometer (HITACHI330 model) using polystyrene cells. The Si concentration of the etchant was determined by ICP (SPS-1100; Seiko Instruments Inc.). In addition, x-ray diffraction (RU-200B; Rigaku), FIB (SMI8300; Seiko Instruments Inc.), and AFM (SAF350/SPI3600; Seiko Instruments Inc.) were used. d. Etching Methods The positive type photoresist OFPR800 (Tokyo Ohka Kogyo Co., Ltd.) was used to form the resist pattern for evaluation on each substrate. Sulfate hydrogen peroxide solution was used for resist removal. The etchant was prepared in a Teflon beaker and the liquid temperature was adjusted to 25 + 0.58C in a constant temperature bath. The substrate was immersed in the etchant and the etching was performed in the stationary state. 2. HF:HNO3:CH3COOH-Type Etchant a. Degradation of Photoresist and Effects on Silicon Surface Figure 5.1 shows a SEM photo of a-Si:H surface on a gate electrode. The surface is observed after immersing in the HF:HNO3-type etchant which includes a large quantity of CH3COOH solvent while being treated with positive-type photoresist, and then peeling the photoresist. This event cannot be observed with an optical microscope. The following is considered to confirm this damage mechanism.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
254
FIGURE 5.1 a-Si:H surface after etching with some etching systems (SEM image after removing photoresist).
Figure 5.2 shows an example of damages by the reagent which includes ingredients of the etchant for positive type photoresist. In the figure, (a) is an example of use of HNO3 and shows an optical microscope photo of the patterned photoresist on the surface, and (b) is a cross-section SEM photo of photoresist damaged by CH3COOH. In the case of (a), the damage of photoresist will progress with time, and finally the photoresist will come off. On the other hand, in the case of (b), it is difficult to observe the damage of photoresist from the surface. Of course, the etchant will penetrate little by little the faveolate hollow (porous) part, and reach the substrate to react with it. In the HF:HNO3:CH3COOH-type etchant, it is believed that the effect of HNO3 and CH3COOH occurs at the same time, and cause the damage in a-Si:H as shown in Figure 5.1. Figure 5.3 shows the cross-sectional image of FIB after the following steps. A silicon nitride film, a nondoped a-Si:H(i) film, and a phosphorus-doped silicon (a-Si:H(nþ)) film were continuously formed on a glass substrate with plasma chemical vapor deposition (CVD), and then the resist pattern was formed on the films. Following this, the a-Si:H(i) film and the a-Si:H(nþ) film were etched with the HF:HNO3:CH3COOH-type etchant. The etchant penetrates into the interface between photoresist and a phosphorus-doped amorphous silicon although it is difficult to check in
(a)
(b)
Photoresist a-Si:H Glass Substrate
× 500
× 30000
FIGURE 5.2 Damage on the photoresist attacked by various reagents. (a) Optical microscopic image of the photoresist attacked by nitric acid; (b) Cross-sectional SEM image of the photoresist attacked by acetic acid.
Silicon Etching
255
Photoresist
SiNx Glass Substrate
FIGURE 5.3 Cross-sectional FIB-SIM images of undercutting by some etching systems.
this figure. As for the HF:HNO3:CH3COOH-type etchant, because of the fact that etching of almost a thousand and several tens nm of a-Si:H films generate a mm of undercut, it is difficult to etch the silicon in accordance with the resist pattern. 3. HF:HIO3-Type Etchant a. Oxidation Power of HIO3 Figure 5.4 shows the comparitive result of the power to oxidize Si with various oxidants diluted with purified water. The thickness of a SiO2 film was determined by ESCA. This figure shows 16
Oxicide Thickness (Å)
14
03 2ppm H2O2 30% HIO3 0.7% HNO3 70%
12 10 8 6 4 2 0 0.1
1
10
100
1000 10000 100000 1000000
Dipping Time (min)
FIGURE 5.4 Oxidation rate of silicon in various oxidizing agents.
256
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 5.5 a-Si:H surface after etching with some etching systems (SEM image after removing photoresist).
that the HIO3 concentration to obtain the same quantity of SiO2 over the same period is of the order of hundredth part of HNO3 concentration. Figure 5.5 shows a SEM photo of a-Si:H on a gate electrode. The surface was observed after immersing in the HF:HIO3:H2O-type etchant while being covered with positive type photoresist and then peeling the photoresist. The surface of a-Si:H was not damaged. However, in the case of using only acetic acid as a solvent, the surface of a-Si:H will be damaged. Figure 5.6 shows one example of the cross-sectional image of FIB after the following steps. A silicon nitride film, an a-Si: H(i) film, and an a-Si:H(nþ) film were continuously formed on a glass substrate with plasma CVD, and then the resist pattern was formed on the films, and the a-Si:H(i) film and the a-Si:H(nþ) film are etched with the HF:HIO3:H2O-type etchant. An almost isotropic etching is available.
Photoresist
a-Si:H SiNx Glass Substrate
FIGURE 5.6 Cross-sectional FIB-SIM images of undercutting by some etching systems.
Silicon Etching
257
b. Etching Features of HF:HIO3-Type Etchant Figure 5.7 shows the etching rate change of a-Si:H or monocrystal silicon (c-Si) when hydrofluoric acid concentration [HF] is fixed at 0.52 mol/l and the iodic acid concentration [HIO3] is varied. Figure 5.8 shows the etching rate of a-Si:H with the HF:HIO3-type etchant. As for the HF:HNO3-type etchant, the etching rate is low in a purified water solvent. From Figure 5.7 and Figure 5.8, in the case of HF:HIO3-type etchant, it is confirmed that etching will proceed in a purified water solvent with low concentration of iodic acid. Figure 5.9 and Figure 5.10 show that the correlation of [HF] and [HIO3], and the etching rate of c-Si and a-Si:H in the HF:HIO3-type etchant. The solvent for these etchants is purified water. The plots of same symbol show the same etching rate line. The broken line shows the stoichiometric ratio of 15:2 between the [HF] concentration and the HIO3 concentration at the chemical equation (5.1) described above when [HIO3] substitutes for HNO3. The chain line shows the line of the composition ratio 30:2 between [HF] and [HIO3]. These figures show that at the concentration side of hydrofluoric acid higher than the stoichiometric ratio, the etching rates are separated between the area where the etching rate is dominated by the HF and the area where the etching rate is dominated by [HIO3]. The reason why this border shifts to the higher concentration side of [HF] than the stoichiometric ratio, (i.e., the low concentration side of iodic acid) has not been understood, but the figures show that the reaction proceeds by equal proportions very clearly. It generates low heat and the heat could not be measured with a standard thermometer and a thermocouple thermometer also could not be used in the system used in the chemical environments of the present experiment. At specific hydrofluoric acid concentrations, it has become clear that excessive use of iodic acid does not contribute the etching. Then, [HIO3] was fixed at 0.04 mol/l; this value corresponds to the point where a-Si:H started to be saturated. And the dependence of etching rate on [HF] was examined. Figure 5.11 shows the result. In this area, for both the c-Si and a-Si:H, the etching rate simply increases with the increase of [HF]. Therefore, it is easy to control the etching rate. When [HF] equals 0.52 mol/l, the required quantity of oxidant to dissolve the same amount of a-Si:H is very little for HIO3, about hundredth part of HNO3.
3
2.5 Etching Rate (×1000 Å/min)
[HF]=0.52 mol/L (const.) 2 a-Si:H 1.5 c-Si 1
0.5
0 0
2
4
6
8
10
HIO3 concentration (×0.1 mol/L)
FIGURE 5.7 Etching rate of amorphous and crystal silicon in HF:HIO3:H2O system.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
258
3.5 Solvent
Etching Rate (×1000 Å/min)
3
DIW AcOH
2.5
[HF]=0.52 mol/L (const.) 2 1.5 1 0.5 0 0
2
4 6 8 HNO3 concentration (mol/L)
10
12
FIGURE 5.8 Etching rate of a-Si:H film with HF:HNO3:Solvent system.
c. Etching Homogeneity of HF:HIO3-Type Etchant This section describes briefly the homogeneity of etching rate of HF:HIO3-type etchant within the substrate. Under the standard condition in the test production devices, the HF:HIO3-type etchant is composed of 0.54 mol/l of [HF], 0.04 mol/l of [HIO3], and ultrapurified water. The etching rate homogeneity vaule is within 6-in.2 of squared substrate. This value shows extremely stable etching features: +3.5% or less for c-Si and +3% or less for a-Si:H. 1.2
HF concentration (mol/L)
1
0.8 (etching rate=1600 Å/min) 0.6 (1200 Å/min) 0.4 (750 Å/min) 0.2
0 0
2
4
6
8
10
12
HIO3 concentration (×0.01 mol/L)
FIGURE 5.9 Relationship of components and etching rate of crystal-Si in HF:HIO3:H2O system.
Silicon Etching
259
FIGURE 5.10 Relationship of components and etching rate of a-Si:H in HF:HIO3:H2O system.
d. Stability of HF:HIO3-Type Etchant To confirm the stability of HF:HIO3-type etchant, the variation of etching rate of a-Si:H over time was examined under open air. For comparison, the features of the I2-added HF:HNO3: CH3COOH-type etchant was examined after the etching rate was adjusted to be almost same as the starting point. Figure 5.12 shows the result. The features of HF:HIO3-type etchant was extremely stable, and the decrease of etching rate was not found even after 100 h. However,
3.5 [HIO3]=0.04 mol/L (const.)
Etching Rate (×1000 Å/min)
3 2.5
a-Si
2 c-Si 1.5 1 0.5 0 0
2
4 6 8 10 HF concentration (×0.1 mol/L)
12
FIGURE 5.11 Etching rate of amorphous and crystal silicon in HF:HIO3:H2O system.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
260
Etching Rate (×1000 Å/min)
2.5
2 Etchant HF:HIO3:H2O system HF:HNO3:I2:AcOH system
1.5
1
0.5
0 0
20
40 60 80 Lapse of Time (hour)
100
FIGURE 5.12 Stability of a-Si etchant under the condition exposed to the air.
the etching rate of the I2-added HF:HNO3:CH3COOH-type etchant decreased almost linearly for a short time and the etchant deteriorated to the state of no etching progress after 40 hr. The visible– ultraviolet radiation absorption analysis confirmed that the decrease of etching rate of the I2 added HF:HNO3:CH3COOH-type etchant corresponds to the decrease of I2 concentration dissolved in the etchant. The I2 that easily evaporates into the air dominated the etching rate. On the other hand, as for the HF:HIO3-type etchant, the figure shows that the possibility that stable and continuous existence of I2 in the etchant plays an important role to produce the stable the features of the etchant. e. Selective Etching Features with Plasma CVD-SiNx Thin Films For this new HF:HIO3-type etchant, the etching features of silicon nitride films (SiNx:H) was examined because of its practical importance. In the TFT manufacturing process, it is required to remove only a-Si:H films accumulated on SiNx:H films, and leave the base SiNx:H films. It is obvious that each of these features is not determined only by the features of etchants, but also by the quality of target SiNx:H films basically. Figure 5.13 shows an example of etching features of SiNx:H films by the plasma CVD method, that was manufactured to get withstand a voltage of more than 8 MV/cm with a 300 nm thin film under the condition to form the homogenous and composition-controlled gate insulating film for TFT array. The figure shows that the etching rate ratio against a-Si:H film that was formed under the conditions used in device test production with the plasma CVD method realizes 16 or more. Figure 5.14 shows the surface of a SiNx:H film observed with AFM after removing only a-Si:H films from the film stack of SiNx:H films and a-Si:H films formed continuously with the plasma CVD method. When compared with the surface of a fresh SiNx:H film immediately after forming the film it is found that the surface will not become newly rough by etching. This reflects its good homogeneity. f. Reaction to I2 (Reaction Product) As a result of etching reaction of Si with the HF:HIO3-type etchant, I2 is generated as a reaction product. The mixed iodine solution of acetic acid and purified water has visible light absorption
Silicon Etching
261 3.5 [HIO3]=0.04 mol/L (const.)
Etching Rate (×1000 Å/min)
3 2.5
a-Si:H
2 1.5 1
SiNx
0.5 0 0
2
4 6 8 HF concentration (×0.1 mol/L)
10
12
FIGURE 5.13 Etching rate of amorphous silicon and silicon nitride in HF:HIO3:H2O system.
spectrum with the absorption maximum at 460 nm. The measuring absorbance of the etchant within this characteristic wavelength leads the correlation between the concentration of etched Si in the etchant and the concentration of Iodine generated as a by-product. Figure 5.15 shows the result. Silicon concentration in the etchant was measured by the ICP measurement method. For the etchant with the mixed solvent of acetic acid and purified water, there is strong positive correlation between the Si concentration and I2 concentration determined by absorbance. This means that I2 generated as a by-product increases in the etchant with dissolving Si. For the etchant with only the purified water solvent, there is not good correlation. Because of low solubility of I2 in pure water (0.02 g/100 ml at 208C), oversaturated I2 will separate out with the progress of the reaction. Actually, when repeating the Si etching using the etchant of same composition, it was observed that some solid material separates out at the etching reaction with increase in number of etching and the solid material would disappear over time if left under open air condition. In addition, this solid material was confirmed to be I2 by the x-ray diffraction. (a)
(b)
Ra=7.3A
Ra=11.1A
FIGURE 5.14 AFM images of the surface of SiNx films. (a) SiNx film etched off a-Si:H layer by using the HF:HIO3:H2O system. (b) as-deposited SiNx film.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
262
Iodine concentration (×0.001 mol/L)
1.2
1 solvent H2O H2O+AcOH
0.8
0.6
0.4
0.2
0
0
0.5
1
1.5
2
2.5
3
3.5
Silicon concentration (×0.001 mol/L)
FIGURE 5.15 Relationship of silicon concentration and iodine concentration calculated by absorbance at 460 nm in HF:HIO3 system.
When the concentration of chemicals is increased for high-speed etching and the speed of generation of I2 is expected to increase, it is required to eliminate the reaction by-products quickly, or increase the saturated solubility of solvent to prevent the concentration of the reaction by-products from going beyond the saturated solubility of solvent at the solid – liquid interface. For example, if the HF:HIO3:CH3COOH:H2O-type etchant is considered, when CH3COOH concentration is 10 wt%, there is no damage to the resist and I2 separation is avoided.
D. SUMMARY The wet etching of Si is reviewed in this chapter. In the TFT array process, the target to be etched is at most 200 –300 nm and processing of a large area is required to be achieved at a lower cost. Therefore wet etching, essentially selective and soft to solid surface, should be used more liberally than the currently used dry etching. To enable the high-precision Si-etching process, the following is assumed. 1. The physical characteristics of thin films to be etched should be understood correctly. 2. Before etching completes, resists should work. 3. Chemical reaction proceeds as per specified principle which involve little reaction heat. The objective was set to assure (1) and to clarify (2) and (3). As a result, the following is found. 1. The dependence of the Si etching rate on the dissociation state of HNO3 causes many weak points of HF:HNO3:CH3COOH-type etching. In other words, HNO3 will dissociate into nitrate ion and lose its oxidation power drastically in the polar solvent such as purified water. The etchant has extremely high acidity because of high concentration of HNO3 and high concentrations of HF are required to increase the Si etching rate. This causes deterioration of photoresist and generates high heat, and it is impossible to achieve homogeneous reaction. And it was established that if organic acid such as CH3COOH was used instead of purified water as a catalyst to prevent the decrease of oxidation power in HNO3 (low
Silicon Etching
263
concentration area), the photoresist would deteriorate and precise control of etching could not be achieved. 2. As for the HF:HIO3-type etchant, HIO3 will not lose its oxidation power by ion dissociation and the purified water solvent has little impact. It was found that the HIO3 concentration necessary to get the same volume of SiO2 over the same period was about hundredth part of HNO3 concentration. Therefore, the Si etching reaction can proceed speedily and calmly with extremely low concentration of reagent without local heat generation. 3. As a Si etchant, a new HF:HIO3-type etchant was developed. This etchant has resolved the various weak points of the traditional HF:HNO3:CH3COOH-type etchant and it has good etching characteristics and can achieve the ideal isotopic etching. The etching reaction mechanism between this etchant and Si includes Si oxidation with HIO3, and subsequent SiO2 dissolution with HIO3, and generates I2 as a reaction by-product. Oxidation process of Si:
5Si þ 4HIO3 ! 5SiO2 þ 2I2 þ H2 O
Dissolution process of SiO2 : SiO2 þ 6HF ! H2 SiF6 þ 2H2 O The following reaction formula is derived as a total reaction. 5Si þ 4HIO3 þ 30HF ! 5H2 SiF6 þ 2I2 þ 12H2 O
(5:2)
The real experimental result showed the equilibrium point of HIO3 concentration to be about one-half of the formula (5.1). But it turned out that the reagent was required to have only the concentration to keep the equivalent reaction. Achieving the process with the equivalent reaction generates double merits for minimizing the production cost because it minimizes the reagent quantity to be consumed and facilitates wastewater recovery. 4. The etching rate selection ratio between the a-Si:H film and the plasma CVD SiNx:H film achieved is 16 or more.
REFERENCES 1. 2. 3. 4. 5. 6.
H. Robbins, and B. Schwartz, J. Electrochem. Soc., 106(6), 505, 1959. H. Robbins, and B. Schwartz, J. Electrochem. Soc., 107(2), 108, 1960. H. Robbins, and B. Schwartz, J. Electrochem. Soc., 108(4), 365, 1961. H. Robbins, and B. Schwartz, J. Electrochem. Soc., 123(12), 1903, 1976. H. Tsutsu, Spring Seminar Proceedings., Metal Finishing Society, 49, 1988. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Principles of wet chemical processing in ULSI microfabrication, IEEE Trans. Semicond. Manuf., 4, 26 – 35, 1991.
II. ANODIC REACTION OF SILICON NOBUHIKO INOUE
A. INTRODUCTION The integrated circuit on silicon started in the 1970s, which has now gained extraordinary high performance (through) by miniaturization according to scaling rule. But recently, miniaturization has reached certain physical and economical limits and integrated circuit is in need of technologies other than miniaturization. One of them is silicon on insulator (SOI). SOI is a substrate structure, which has a silicon active layer in width from 50 to 100 nm on buried oxide (BOX) of several
264
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
hundred nanometers. Because transistors and interconnect are formed on BOX, parasistic capacitance, such as capacitance between interconnect and a substrate, and junction capacitance becomes small. Using SOI substrate, higher speed switching can be realized, independent of miniaturization. Commercially produced SOI wafer has a severe problem. Handle substrate under BOX of SOI wafer is silicon, which has a resistivity between several V cm and several thousand V cm. When high-frequency signal propagates, power loss occurrs by high-frequency current in silicon [1]. So we are developing a metal – substrate SOI wafer, which has a metal layer under buried oxide, so that the integrated circuit of 30-GHz switching speed can be realized. Anodic reaction of silicon is for the first time industrialized in order to produce SOI wafer by Cannon Corporation, which developed the so-called ELTRAN process [2]. Production process of metal substrate SOI wafers is based on the ELTRAN process. Anodic reaction of silicon is a key technology of ELTRAN, which is a selective wet etching of silicon to form porous silicon. In order to produce a metal –substrate SOI wafer, which is based on ELTRAN process, precisely controlled anodic reaction of silicon is investigated. In this chapter a part of the results so obtained are introduced.
B. SOI WAFER PRODUCTION PROCESS
BY USING
ANODIC REACTION
OF
SILICON
1. Introduction Recently gate-length of integration circuit is miniaturized to less than 100 nm, and a 3-GHz microprocessor is running in our personal computers. Switching speed of digital circuit is limited by RC delay of R (interconnect resistivity) and C (load capacitance) as the first approximation. Over the past 30 years progress in miniaturization technology has made the RC delay smaller and smaller, which results in amazing high performance of integrated circuit. But now miniaturization technology has reached physical and economical limits. In the twenty-first century, integrated circuit using SOI wafer has been industrialized. The idea of SOI was proposed in 1980s, but we have had no technology to make crystalline silicon on insulator (SiO2). In the 1990s when miniaturization technology’s limit was close, SOI wafer production processes were developed. There are three production methods of SOI wafer. They are: (1) Unibond, (2) SIMOX, and (3) ELTRAN. In Unibond and SIMOX processes, Hþ or O2 ion are implanted in crystallize silicon, and the fact that damage residue in crystalline silicon increases in 1/f noise of transistor is reported [3]. On the other hand, ELTRAN process makes no damage in crystalline silicon, because the wet process is used for wafer splitting and the active silicon layer grows epitaxially. The presentday demand of electronics such as personal cellular phone is low power, and analog – digital mixed integrated circuit becomes a main stream. Metal – substrate SOI wafer (Figure 5.16), which is based on ELTRAN process, is predicted to be the need for the twenty-first century’s integrated circuit. 2. SOI Wafer Production using Anodic Reaction of Silicon (ELTRAN) At first, we follow ELTRAN process. Figure 5.17 shows the anodic reaction system. The reaction condition is constant current (10 mA/cm2) or constant voltage (6 V). Starting silicon substrate is a heavily doped p-type silicon (Boron concentration Na is 3E þ 18 cm23), and the surface orientation is (1 0 0). The electrolyte consists of 36wt% HF, 30 wt% IPA, and 24 wt% H2O. IPA is mixed in order to increase the wetness of electrolite to silicon. DC voltage is applied on the interface between the front surface of silicon and the electrolyte. The backside of it silicon substrate is connected with a Pt-anode via electrolyte. The electrolyte is circulated, and is removed of H2 and O2 gas by
Silicon Etching
265
p+ or n+-Si (Handle-Wafer)
p+ (De or n+S vice -Wa i fer)
Porous Silicon Wafer bonding
~500°C Metal-layer for Silicide-Bonding
Ni
Cu
p+Si AlN SiO2 Double-layered porous Silicon
Buried insulater(1) Buried insulater of high heat-conductivity(2) p+Silicon (Vt adjustment)
(100)Epi-Si
Metal-layer (>skin depth)
Wafer splitting (100)Epi-Si AlN
SiO2(BOX)
Cu
Ni-silicide-Layer
p+ or n+-Si (Handle-Wafer) p+ or n+Si (Device-Wafer) Metal-substrate SOI wafer
FIGURE 5.16 Metal-substrate SOI wafer.
degasifying the membrane. By anodic reaction porous silicon is formed. For a representative value, the etching rate of silicon is 1.2 mm/min and 150-mm wafer uniformity is 8.6%. Figure 5.18 shows a double-layered porous silicon formed by the anodic reaction in two sequential conditions. ELTRAN process uses two phenomena: 1. Mechanical stress is focused at the interface of a double-layered porous silicon and the wafer can be split at the interface. 2. Crystalline silicon grows epitaxially on porous silicon. Figure 5.19 shows x-ray diffraction of porous silicon formed under each condition, which indicates that porous silicon is crystalline and its lattice constant depends on the anodic reaction
FIGURE 5.17 Schematic diagram of anodic reaction system.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
266
ELTRAN…double-layered porous Silicon p+Silicon (100), resistivity:0.02 Ω cm, 1st porous Si layer…36% HF, 10 mA/cm2, 5 min 2nd porous Si layer…5% HF, 3.3 mA/cm2, 5 min
3000 Å
10 µm 1st layer 5.0 µm
p+Silicon
3000 Å 1st layer
2nd layer 2nd layer
p+Silicon
FIGURE 5.18 Double-layered porous silicon.
condition. Next, the pores of porous silicon surface are closed by H2 annealed subsequently and the crystalline silicon grows epitaxitially. The silicon is oxidized to form BOX and the wafer is bonded to another handle wafer. The wafers are split at the interface of a double-layered porous silicon, and then SOI wafer is finished (Figure 5.16).
C. PRECISE CONTROL
OF
ANODIC REACTION
OF
SILICON
1. Introduction Wet process can be controlled precisely by some parameters such as the oxidation –reduction potential (ORP) of liquid phase, potential of silicon surface, and wetness of liquid phase to silicon surface. Anodic reaction of silicon is a kind of wet process. Conventional wet process is mainly controlled by ORP of liquid phase. The anodic reaction is characterized by the control of silicon surface potential. 2. Formation Mechanism of Porous Silicon and Improvement on Surface Morphology Figure 5.20 shows porous silicon formed by anodic reaction of (1 0 0) oriented nþ silicon (phosphorus concentration Nd is 1E þ 18 cm23), which has periodic pores perpendicular to silicon surface with a pitch width of 200 nm. The silicon surface potential is þ6 V, and space charge density is Nd ¼ 1E þ 18 cm23. By solving Poisson equation on depletion approximation, the width of the depletion layer is calculated to be about 100 nm, and pore pitch of porous silicon is equal to two times of the width. Figure 5.21 shows porous silicon by the anodic reaction of non-polished surface in the same condition. The direction of straight pores is not dependent on the surface wave, but on the direction of electric field. By means of controlling the applied voltage of electrolyte with high wetness to
Silicon Etching
267
counts/s 10M L
S
1M 100K 10K
Porous Silicon
Si substrate (5.43 Å)
1K 100 10 1 0.1 34.35
34.40
34.45
34.50
34.55
34.60
34.65
34.70 34.75 34.80 Omega/2Theta(degrees)
1st layer-Porous Silicon counts/s 10M S 1M
100K
Porous Silicon
Si substrate (5.43 Å) L
10K
1K
100
10 34.40
34.45
34.50
34.55
34.60
34.65 34.70 Omega/2Theta(degrees)
2nd layer-Porous Silicon
˚ ). FIGURE 5.19 X-ray diffraction of two-type porous silicon (Cu Ka: 1.5443 A
silicon, precise control of the depletion layer and various selective etching of silicon can be realized. Kleimann et al. [4] demonstrated interesting figures in this regard. As mentioned earlier, the next ELTRAN step of anodic reaction is closing the pores of porous silicon surface by H2 annealing, and epitaxial growth of crystalline silicon [5]. The crystalline silicon becomes an active layer and the interface between porous silicon and the epitaxial silicon becomes the surface of SOI wafer when finished. In order to make sub-micron full-depletion SOI devices, active silicon layer width is to be thinner than 50 nm. To produce such extremely thin SOI wafer, flatness of porous silicon
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
268
Cross-section
5.9 µm Pitch-width = 200 nm
1 µm n-type Si substrate (Nd=1 E+18 cm−3)
Pitch-width = W × 2 Anodic condition The width of depletion layer W=
2εs εoV ~100 nm qNd
@ V=6V, Nd =1 E+18 cm−3
Constant current: 10 mA/cm2 Electrolite: 5% HF + 65% HF + 30% IPA Reaction time: 5 min
FIGURE 5.20 Porous silicon formed by depletion layer of silicon surface (1).
surface is essential not only to epitaxial silicon crystal growth, but also to wafer uniformity of silicon layer. Uniformity is one of the important quality of SOI wafer, which has direct influence on yield of integrated circuit. This is because highly controlled dry etching technology is necessary to form contact hole in thin silicon layer. When SOI wafer has poor uniformity of silicon layer, dry etching cannot stop at silicon layer. Figure 5.22 shows surface morphology of porous silicon by anodic reaction of constant current 10 mA/cm2. Figure 5.23 shows surface morphology of constant voltage 6 V-porous silicon. When porous silicon is formed under constant voltage, only micropits, (which have a pitch length two times) that of the depletion layer, grows pores and porous silicon has smoother surface than under constant current. Constant voltage anodic reaction has advantage to produce high-quality SOI wafer.
6.0 µm 5.4 µm Porous Silicon
1 µm n+Silicon n+Silicon of no-polished surface (0.04 Ω cm) Constant current: 10 mA/cm2, Electrolite: 5% HF + 56% H2O + 30% IPA 5 min
FIGURE 5.21 Porous silicon formed by depletion layer of silicon surface (2).
Silicon Etching
269
3 µm
300 nm
Porous Silicon surface
n-type Silicon (Nd=1 E+18 cm−3), Constant current : 10 mA/cm2, Electrolite : 5% HF + 65% H2O + 30% IPA
Voltage
Cross-section
Anodic reaction time : 5 min
FIGURE 5.22 Surface morphology of porous silicon (1). 3 µm
300 nm
Porous Silicon surface
n-type Silicon (Nd=1 E+18 cm−3), Constant voltage : 6 V, Electrolite : 5% HF + 65% H2O + 30% IPA Anodic reaction time : 5 min
Current
Cross-section
Reaction time
FIGURE 5.23 Surface morphology of porous silicon (2).
D. DISCUSSION
ON
ANODIC REACTION
OF
SILICON
In the case of conventional wet process where no voltage is applied on silicon substrate, HF22 ions react SiO2 which has polarity, but do not react silicon having no polarity. When a negative bias is applied on to the silicon substrate by electrolyte and potential, the silicon surface becomes positive with respect to the electrolyte, silicon surface can receive electrons from HF22 ions, and silicon can be dissolved by fluoric acid.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
270
(cathode)
Electrolite (HF+IPA+H2O)
(cathode)
Depletion layer with high resistance
(cathode)
W W
N-type Si substrate (anode) Micro pits formation
(anode)
H H H H H H H H H H
H H H H H H H H H H
Current path (anode)
Current path is confined at the top of pores, and periodic porous silicon is formed.
FIGURE 5.24 Mechanism of periodic porous silicon formation.
Figure 5.24 shows the formation mechanism of periodic porous silicon. Negative bias is applied on silicon surface from electrolyte, and depletion layer is formed at n-type silicon surface. Electrolyte has high wettness by mixture of IPA, which penetrates into micro-pits on silicon surface, and a depletion layer is formed conformal to the silicon surface. When the pitch of pores becomes two times that of the depletion layer width, current path is confined to the tips of pores, and a periodic porous silicon is formed.
E. SUMMARY In this chapter our research on anodic reaction of silicon is introduced. Our target is to make gas-isolated metal-substrate SOI wafer, which can realize ultimate integrated circuits using silicon. To sum up, our research on anodic reaction of silicon was started as an element of production process of SOI wafer. The anodic reaction of silicon is nevertheless thought to be a new wet-process technology, because silicon surface potential is a new control parameter in addition to ORP of liquid phase.
REFERENCES 1. A. Morimoto, K. Kotani, S. Sugawa, and T. Ohmi, Interconnect and substrate structure for gigascale integration, Jpn. J. Appl. Phys., 40, 3038–3043, 2001. 2. T. Yonehara, K. Sakaguchi, and N. Sato, Epitaxial layer transfer by bond and etch back of porous Si, Appl. Phys. Lett., 64, 2108– 2110, 1994. 3. T. Ushiki, H. Ishino, and T. Ohmi, Effect of starting SOI material quality on low-frequency noise characteristics in partially depleted floating-body SOI MOSFETs, IEEE Electron Device Lett., 21, 610– 612, 2000. 4. P. Kleimann, J. Linnros, and R. Juhasz, Formation of three-dimensional microstructures by electrochemical etching of silicon, Appl. Phys. Lett., 79, 1727– 1729, 2001. 5. N. Sato, S. Ishii, S. Matsumura, M. Ito, J. Nakayama, and T. Yonehara, Defect engineering in epitaxial layers over porous silicon for ELTRAN SOI wafers, Extended Abstracts of SSDM, 302 – 303, 1998.
6
Chemical Composition Control Technology Tatsuhiro Yabune Stella Chemifa Corporation, Osaka, Japan
Masayuki Miyashita Stella Chemifa Corporation, Osaka, Japan
Hirohisa Kikuyama Stella Chemifa Corporation, Osaka, Japan
Jun Takano Stella Chemifa Corporation, Osaka, Japan
CONTENTS I. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Current Status and Existing Problems of Cleaning Solution Control . . . . . . . . . . . . A. Problems of High-Temperature Wet Process . . . . . . . . . . . . . . . . . . . . . . . . . . B. Effects of Decomposition of Etchant Components . . . . . . . . . . . . . . . . . . . . . . III. Parameters to Change of Etchant Composition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Evaporation Amount Depended on Humidity and Temperature (Ambience Condition): Relationship between Humidity and Amount of Evaporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Effects of Chemical Species Consumption through Etching . . . . . . . . . . . . . . C. Carry-In of Chemicals Used at Just before Step and Carry-Over of Etchant . IV. BHF Composition Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Control of HF22H2O2 Composition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VI. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
271 272 273 274 275
275 276 278 278 281 281 282
I. INTRODUCTION As ultra large-scale integration (ULSI) device is constantly innovated in terms of its density and functionality, wet-process technology becomes increasingly important in ULSI device manufacturing line. Along with the ongoing improvement of purity and functionality of chemicals, wet process technology is making remarkable progress. In the traditional cleaning technology, three major cleaning techniques are combined to remove different contaminants. SPM (H2SO422H2O2) cleaning (120 –1508C) is adopted to remove organic and metallic impurities. APM (NH4OH22 H2O2) cleaning (80 – 908C) is used to remove particles and organic impurities. DHF (e.g., 0.5% HF) cleaning (at room temperature) is used to remove chemical or native oxide. Also, the conventional etching process is sometimes performed at high temperature of 308C or more in order to achieve high etch rate. These cleaning and etching techniques at high temperature, however, generate chemical vapor in a huge amount to be exhausted. 271
272
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
A perfectly controlled wet-cleaning process based on the ultraclean technology has been proposed as a new cleaning technology to overcome these challenges [1,2]. It is essential in the new cleaning technology to reduce the number of process steps as much as possible, to minimize chemical vapor generation by performing every process step at room temperature, and to perfectly control the chemical composition. In the case of the cleaning technology, for instance, a new cleaning process has been established that uses cleaning solutions comprising HF and H2O2. Relevant chemical waste-recovery technologies have also been established [3]. Focusing buffered hydrofluoric acid (BHF), HF, and HF22H2O2, all of which are typical solutions used in wet process, the present authors identified the parameters that affect process ambience and chemical composition, and studied the chemical composition control technology by controlling these parameters. On the basis of this study, the authors have developed a stable wet process with optimum accuracy and reproducibility.
II. CURRENT STATUS AND EXISTING PROBLEMS OF CLEANING SOLUTION CONTROL BHF has been commonly used in ULSI device manufacturing process. As shown in Table 6.1, BHF solution has been usually prepared by mixing 50% HF and 40% NH4F with arbitrary mixing ratio. The mixing ratio has been determined in a way to achieve a desirable etch rate on target oxide. Along with increase of wafer diameter and device density as well as diversification of types of oxide (dielectric film) to be etched, chemicals and gases used in the process have been increased in type. Consequently, utilities to be controlled have been considerably increased both in type and in volume. For example, it becomes difficult for the wet process to use numerous types of chemicals as only limited space is available for chemical tank installations. All etching processes need to be fulfilled by only using one type of chemicals or two. The conventional approach to control etch rate by using multiple chemicals with different mixing ratio must be replaced by a new approach in which the types of chemical are strictly limited and etching progress is controlled by changing the etching time and etching temperature. Table 6.2 shows the crystal precipitation temperature of BHFs with different chemical compositions. BHFs with some chemical compositions are highly likely to allow crystal to get precipitated when temperatures go down in winter. These BHFs need to be carefully handled.
TABLE 6.1 Relationship between Composition of Conventional BHF and Etch Rate of Thermal SiO2 Film at 2588 C Mixed Ratio of BHF (wt. ratio) 40% NH4F:50% HF 5:1 6:1 9:1 10:1 20:1 30:1 100:1 400:1
NH4F (wt.%)
(mol/l)
33.3 34.3 36.0 36.4 38.1 38.7 39.6 39.9
9.00 9.27 9.73 9.84 10.30 10.46 10.70 10.78
Composition HF (wt.%) (mol/l) 8.3 7.1 5.0 4.5 2.4 1.6 0.5 0.1
4.17 3.55 2.50 2.25 1.20 0.80 0.25 0.05
NH4F/HF mol Ratio
Etch Rate Thermal SiO2 at 258C (nm/min)
2.16 2.61 3.89 4.37 8.58 13.07 42.81 215.60
141 115 78 70 37 26 9 2.7
Chemical Composition Control Technology
273
TABLE 6.2 Relationship between Composition of BHF and Segregation Temperature Chemical Composition HF (%) NH4F (%)
BHF 30:1 20:1 15:1 10:1 9:1 7:1 6:1 5:1
1.61 2.38 3.13 4.55 5.00 6.25 7.14 8.33
Etch Rate of Thermal SiO2 at 258C (nm/min)
Segregation Temperature (8C)
26 37 49 70 78 102 115 141
220 213 27 2 4 10 14 18
38.71 38.10 37.50 36.36 36.00 35.00 34.28 33.33
As HF concentration becomes higher and etch rate goes up, crystals get precipitated at higher temperature too. On the other hand, crystal precipitation temperature goes down as HF concentration in BHF gets lower. The traditional ULSI manufacturing processes use these phenomena in handling etchants. For example, it is easy to handle 20:1 BHF even in winter as its crystal precipitation temperature is low. Etch rate of 20:1 BHF, is low due to its low HF concentration. Hence, in order to achieve high etch rate, 20:1 BHF is used at high temperature. Low etch rate of 20:1 BHF is compensated by raising the etching temperature. No effective measures, however, are taken against increase of chemical vapor generation from the 20:1 BHF, induced by high etching temperature. It has become increasingly necessary to use etchants without replacement for extended period of time, and to improve stability and reproducibility of etching process.
A. PROBLEMS
OF
HIGH-TEMPERATURE WET PROCESS
Figure 6.1 and Table 6.3 show change in chemical composition of 20:1 BHF over time when this etchant is left at 368C Both HF concentration and NH4F concentration remain stable for the first 49 Segregation Temp. +18°C
NH4F Concentration (%)
47 45 43 41 39 ?
37
20 : 1BHF
35 0
5
10 15 20 25 30 35 40 45 50 Standing Time (hrs) Standing Temp. :36°C
FIGURE 6.1 Relationship between standing time and chemical composition.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
274
TABLE 6.3 Relationship between Standing Time and Chemical Composition Standing Time (h)
Chemical Composition (%) HF NH4F
0 24 48
2.38 2.61 2.89
Segregation Temperature (8C) 212 29 þ18
38.1 41.9 45.6
Note: Chemical Composition: 20:1 BHF (HF: 2.38%, NH4F: 38.1%); etching temperature: 368C.
24 h, and start increasing afterward. Crystal precipitation temperature also goes up from 212 to 98C in the first 24 h, and to þ188C in the next 24 h. It is concerned that crystal precipitation takes place when etchant temperature is reduced from 368C to room temperature after the above-mentioned change in etchant composition. Such crystal precipitation triggers increase of particle count in the etchant and further changes in etchant composition. They will change the etch rate and make etching nonuniform, which eventually leads to yield drop.
B. EFFECTS
OF
DECOMPOSITION
OF
ETCHANT COMPONENTS
Traditionally mixing ratio between 50% HF and 40% NH4F was adjusted to achieve target etch rate. To get lower etch rate, for example, 40% NH4F and 50% HF were mixed with ratio of 400:1 (400:1 BHF) as shown in Table 6.1. Figure 6.2 shows the relationship between etch rate of 400:1 BHF on thermal SiO2 film and standing time at 238C. Table 6.4 shows relationship between composition change and etch rate of thermal SiO2 film of 400:1 BHF, and standing time. 4.5 4.0
Etch Rate (nm/min)
3.5 3.0 2.5 2.0 1.5 ?
1.0
400 : 1BHF
0.5 0.0 0
10
20
30 40 50 60 Standing Time (hrs) Etching Temp. : 23°C Humidity : 53%
FIGURE 6.2 Relationship between standing time and etch rate.
70
80
Chemical Composition Control Technology
275
TABLE 6.4 Relationship between Standing Time and Etch Rate of Thermal SiO2 Standing Time (h)
Chemical Composition (%) HF NH4F
0 24 48 72
0.13 0.17 0.22 0.26
Etch Rate of Thermal SiO2 at 238C (nm/min)
39.69 39.97 40.30 40.52
2.07 2.88 3.35 3.94
Note: Chemical Composition: HF: 0.13%, NH4F: 39.69%; etching temperature: 238C; humidity: 53%.
In the case of 400:1 BHF, very low etch rate is achieved by decreasing HF concentration and increasing NH4F concentration, HF concentration rises over time whereas NH4F concentration drops. Initially etch rate of this etchant on thermal SiO2 film was 2.07 nm/min. Forty-eight hours later, the etch rate increased by about 1.6 times. 400:1 BHF which features very low etch rate was selected to precisely control etch rate. In reality, however, its etch rate showed a significant change within a short period of time, and it is not possible to realize etching process with high reproducibility. This change in 400:1 BHF composition is considered to be attributed to NH4F decomposition to HF and NH3 gas. NH4 F ! HF þ NH3 "
(6:1)
It has been found extremely difficult to control BHF composition when wet process is performed at high temperature or when NH4F concentration in BHF is high. The authors also identified parameters to control chemical composition of etchant.
III. PARAMETERS TO CHANGE OF ETCHANT COMPOSITION Three parameters are considered to change etchant composition. Figure 6.3 indicates the parameters that affect the entire etching process. 1. Evaporation amount depended on humidity and temperature (ambience condition) 2. Consumption of chemical species through etching reaction 3. Carry-in of chemicals used at just before step and carry-over of etchant Several experiments were conducted on these about the parameters.
A. EVAPORATION AMOUNT DEPENDED ON HUMIDITY AND TEMPERATURE (AMBIENCE CONDITION): RELATIONSHIP BETWEEN HUMIDITY AND AMOUNT OF EVAPORATION The etchant is usually used at a wet station or at a clean bench. Humidity is controlled at around 40%. It is common that a local ventilation system is installed in order not to release chemical vapor into the clean-room ambience. When etching process is performed at high temperature, the local ventilation system needs to feature a high pumping capability of 30 –40 m3/min. Figure 6.4 shows the evaporation rate as a function of NH4F concentration at 69% humidity. Evaporation
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
276
humidity Down flow and Horizontal air seal
Evaporation Chemical carry over
Chemical carry in
Chemical decomposition Chemical concentrated Change of etch rate Chemical consumption
FIGURE 6.3 Perfect-controlled wet-cleaning process parameters.
rate is found to be dependent on NH4F concentration. Figure 6.5 shows the relationship between the amount of evaporation and humidity. As shown in Figure 6.4 and Figure 6.5, the higher the NH4F concentration and humidity are, the lesser is the evaporation amount.
B. EFFECTS
OF
CHEMICAL SPECIES CONSUMPTION
THROUGH
ETCHING
As BHF and HF etch SiO2 film, their composition change due to chemical species consumed by the etching reaction. Etching reaction of BHF and SiO2 is commonly expressed as: SiO2 þ 4HF þ 2NH4 F ! (NH4 )2 SiF6 þ 2H2 O
65
Evaporation Rate (g/[hr • m2])
Rh : 69% 55 45 35 25 15 5 -5 0
5
10
15
20
25
30
NH4F concentration (%)
FIGURE 6.4 Relationship between NH4F concentration and evaporation.
35
40
(6:2)
Chemical Composition Control Technology
277
FIGURE 6.5 Relationship between standing time and environmental humidity.
Consumption of chemical species through etching reaction can be calculated as follows: HF consumption:
2:68 108 DE (mol)=wafer
NH4 F consumption:
1:34 108 DE (mol)=wafer
H2 O generation:
1:34 108 DE (mol)=wafer
where wafer size is equal to 6 in., D is the amount of etching (nm); E is the rate of etched oxide surface area to entire wafer surface area (%). Figure 6.6 shows the relationship between chemical composition and the number of treated wafers. In this experiment, 6-in. wafer with 80% of its surface covered with the photoresist was etched by 100
Chemical Composition (%)
HF (%) NH4F (%)
10
1 10
100
1000
The number of treated wafer
FIGURE 6.6 Influence of consumption with etching species.
10000
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
278
100 nm. This figure indicates that very little HF and NH4F are consumed during this etching reaction. Even after etching several thousands of wafers, chemical composition was kept stable enough not to affect etching result. This means etchant evaporation, rather than consumption of chemical species during etching, is a dominant factor to affect chemical composition change of etchant.
C. CARRY-IN
OF
CHEMICALS USED AT JUST BEFORE STEP AND CARRY-OVER
OF
ETCHANT
In wet process, particularly in etching process where BHF is used, wafer surface is mostly covered with the photoresist. BHF is used in wet etching process or in the combined process of dry etching and wet etching. A photoresist surface, just like Si surface, is water repellent. The conventional BHF cannot penetrate into minute contact hole, which results in etching failure [4 – 7]. Traditionally, in order to overcome this problem, Si wafer was preliminary treated with a surfactant-added ultrapure water (UPW) to get its surface covered with the surfactant-added UPW before it was etched with BHF. In this traditional procedure, however, the pretreatment solution was carried over together with wafer and wafer cassette to the etching bath, eventually to dilute BHF composition. As a result, etch rate was lowered. One of the solutions to this problem is to simultaneously perform the pretreatment and the wet etching. In other words, the surfactant is spiked not to UPW but to BHF to combine the two process steps together. With this procedure, no carry-over of pretreatment solution takes place, and accordingly etch rate does not drop because of BHF dilution does not happen (Figure 6.7).
IV. BHF COMPOSITION CONTROL As a result of studies to identify the parameters essential for changing the etchant composition, the authors have identified the following: 1. Etchant evaporation can be suppressed by increasing humidity. For BHF, the higher the NH4F concentration is, the lesser the evaporation. NH4F decomposition is, however, accelerated when its concentration is high. 2. Consumption of chemical species during etching reaction has little impact on the change in etchant composition. 3. The traditional two-stage etching process has a problem in that BHF is diluted due to carry-in of pretreatment solution. This problem is overcome by eliminating the pretreatment and using the surfactant-added BHF.
FIGURE 6.7 Chemical carry-over.
Chemical Composition Control Technology
279
Increasing amount of HF (g/m2)
70 : : : :
60 50
HF=0.1% HF=0.2% HF=0.3% HF=1.0%
40 30 20 10 0 0
5
10
15
20
25
30
35
40
NH4F concentration (%)
FIGURE 6.8 Relationship between NH4F concentration and HF increasing amount.
It was very hard to completely stop etchant evaporation and change of etchant composition. Instead of finding a way to eliminate change of etchant composition, the authors decided to explore a means to minimize composition change by controlling relevant parameters. The authors performed a 70-h evaporation test, using BHFs with varied NH4F concentration. HF concentration in the BHFs was varied to 0.1, 0.2, 0.3, and 1.0%. In the evaporation test, containers with evaporation surface area of 78.5 cm2 were filled with the different BHFs of 500 g, and left in a cleanroom with humidity kept at 50%. Figure 6.8 shows rise of absolute HF amount (per unit area) as a function of initial NH4F concentration in BHF. These experimental results indicate that keeping the initial HF concentration the same, the rise of absolute HF amount because of evaporation is more suppressed when the initial NH4F concentration is lower. It is also revealed that rise of absolute HF amount as a result of evaporation gets to a certain level as NH4F concentration is lower, which suggests that only H2O is evaporated from BHF. Table 6.5 shows the etchant constituents that evaporated during the 70-hr evaporation test. In this experiment, containers with an evaporation surface area of 24 cm2 were filled with 80 –100 g BHFs with composition varied. Temperature of BHFs was controlled at 258C. After the containers were left in cleanroom with 40% humidity, absolute amount of BHF components was measured. According to
TABLE 6.5 Time Dependence of Evaporated Chemical Composition Chemicals HF–NH4F (%) DIW 0.1–20 0.1–40 2.5–20 2.5–38
Weight Variation (%) 27.2 24.7 20.2 24.0 20.3
Chemical Composition HF(g) NH4F(g) — 0.11 ! 0.11 0.08 ! 0.15 2.84 ! 2.84 2.58 ! 2.58
— 22.1 ! 22.1 40.7 ! 40.4 22.4 ! 22.4 42.4 ! 42.3
Note: Standing time: 70 h; humidity: 40%; sample weight: 80– 100 g evaporation area: 24 cm2. a
NH4F ! HF þ NH3".
Evaporated Chemical Composition H2O H2O H2O þ NHa3 H2O H2O
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
280
Table 6.5, when NH4F concentration is 40% and HF concentration is 0.1%, rise of absolute HF amount as a result of NH4F decomposition is detected as well as H2O evaporation. This experiment demonstrates that along with increase of NH4F concentration and decrease of HF concentration, HF generation due to NH4F decomposition is more facilitated. By reducing NH4F concentration in BHF, it is possible to limit the evaporating component only to H2O though total evaporation amount will increase. As it is only H2O that gets evaporated, it is possible to recover initial BHF composition merely by adding UPW of equivalent amount. For example, initial BHF composition can be maintained by monitoring liquid level of etching bath and by adding UPW as necessary to maintain the liquid level constant. This enables etching process to be stable and to keep etch rate constant. Low NH4F concentration also improves the overall etching performance because it helps to increase solubility of reaction products to BHF [4 – 7]. Succeedingly, based on the above-mentioned findings, the present authors studied the logical formula to control etchant composition. Table 6.6 shows an example.
TABLE 6.6 Wet Process Parameters (BHF) Parameters Initial HF concentration Initial NH4F concentration Initial chemical weight Etching bath area SiO2 exposure ratio Etching depth Wafer batch (1 batch/25 pieces) Chemical carry over weight Rate of evaporation Standing time
a (%) b (%) W (g) S (m2) E (%) D (nm) B (batch) C (g/batch) V (g/min.m2) T (min)
Chemical composition after T times HF concentration (%):
(W a) (1:34 103 B D E) (a B C) (%) W þ (1:01 105 B D E) (S T V) (B C)
NH4F concentration (%):
(W b) (1:24 103 B D E) (b B C) (%) W þ (1:01 105 B D E) (S T V) (B C)
Example: HF concentration: 0.7% NH4F concentration: 17% Initial chemical weight: 20 kg Bath area: 0.1 m2 SiO2 area: 10% Etching depth: 500 nm Etching batch: 100 batch (2500 pieces 6-in. wafer) Standing time: 1440 min Environmental humidity: 40% Rate of evaporation: V ¼ 7.0146 0.290 e(20.0389T) (g/min m2) HF conc. after 24 h: 0.68% NH4F conc. after 24 h: 17.45% Chemical weight after 24 h: 17.98 kg Supply chemical composition: HF: 0.87%, NH4F: 12.99%
Chemical Composition Control Technology
281
The following are found important to control etchant composition. 1. Limit evaporating chemical species to only H2O: Select etchant composition so that does not allow decomposition of chemical species. Decrease NH4F concentration in BHF. 2. Simplify the wet process: Keep the etchant composition stable by eliminating carry-over of pretreatment solution to the etching bath, wafers and wafer cassettes. Use the surfactantadded etchant to eliminate pretreatment. 3. Improve process tools: Set up a supplying system of replenishment solution which adjusts its composition in accordance with the recovering (changed) etchant composition to its initial composition besides etchant delivery system. This composition-recovery solution should be composed only of the same chemical components and equivalent amount of each components as that evaporated and consumed chemical components. As this system is, introduced the amount of chemical wastes and frequency of etchant replacement decreases. 4. By introducing controlled ambience, etchant, process, and process tools, it will become possible to control etchant composition and realize a wet process with accuracy and reproducibility.
V. CONTROL OF HF22H2O2 COMPOSITION Recently a new cleaning technology proposed by Ohmi et al. of Tohoku University has been drawing attention as it is to replace the RCA cleaning. The new technology is based on a HF22H2O222surfactant solution and megasonic irradiation [8,9]. Table 6.7 and Figure 6.9 show the impact of evaporation on this chemical composition. The evaporation test was performed in cleanroom with humidity of 40%. Containers with evaporation surface area of 24 cm2 were filled with 258C etchants of 90– 100 g, and left in the cleanroom for 70 h. As shown in Table 6.7, only H2O evaporated from the etchants even after they were left for 70 h. Etch rate is found to increase because of evaporation. It is found, however, possible to recover the initial etch rate and keep etch rate constant merely by adding UPW of equivalent amount.
VI. CONCLUSION The present authors studied parameters to change etchant composition and ways to control them. Although maintaining initial composition of etchant constantly is extremely important, it is not
TABLE 6.7 Time Dependence of Evaporated Chemical Composition Chemicals HF2 2H2O2 (%) 0.1–0 0.1–1 0.1–3 0.5–0 0.5–1 0.5–3
Weight Variation (%) 26.24 26.48 27.33 27.70 27.16 27.17
Chemical Composition HF(g) H2O2(g) 0.11 ! 0.11 0.11 ! 0.11 0.12 ! 0.12 0.55 ! 0.55 0.55 ! 0.54 0.55 ! 0.54
1.21 ! 1.20 3.20 ! 3.23 1.17 ! 1.12 3.10 ! 3.13
Note: Standing time: 70 h; humidity: 40%; sample weight: 90– 110 g; evaporation area: 24 cm2.
Evaporated Chemical Composition H2O H2O H2O H2O H2O H2O
282
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
3.4
Etch Rate (nm/min)
3.2 3.0 ? 2.8 2.6 2.4
?
0.5%HF-3%H2O2
?
delivery H2O
2.2 0
10
20
30
40
50
60
70
Standing time (hrs.) Etching Temp : 25°C Humidity : 40 %
FIGURE 6.9 Relationship between standing time and etch rate.
sufficient in order to meet the ever-demanding requirements for reliability, stability, and yield in future ULSI device manufacturing process. Along with introduction of larger diameter wafer, etching bath will become larger in size. It is also necessary to give consideration to the evaporation of chemicals adsorbed on large-sized wafer during its transportation process. These potential problems need to be identified and addressed, or it will become necessary to explore the possibility of replacing batch-type etching process with single-wafer etching process. These are key issues to determine whether or not to use the wet process in the future ULSI manufacturing process.
REFERENCES 1. T. Ohmi, Ultraclean technology reform further manufacturing technology of highly efficient semiconductor and LCD, in: Proceedings of the 23rd Symposium on ULSI Ultra Clean Technology, part I, Tokyo, pp. 26–31, 1994. 2. T. Ohmi, Electronic chemistry of semiconductor surface. in: 27th Workshop on ULSI Ultra Clean Technology Advanced Wet Chemical Proceeding V, Tokyo, pp. 3 – 27, 1995. 3. N. Miki, T. Fukudome, M. Maeno, and T. Ohmi, Advanced Recovery Technology of HF, BHF and H3PO4, in: Proceedings of the 23rd Symposium on ULSI Ultra Clean Technology, part II, Tokyo, pp. 264– 274, 1994. 4. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Surface active buffered hydrogen fluoride having excellent wettability for ULSI processing, IEEE, Trans. Semicond. Manuf. 3, 99– 108, 1990. 5. H. Kikuyama, N. Miki, K. Saka, J. Takano, I. Kawanabe, M. Miyashita, and T. Ohmi, Principles of wet chemical processing in ULSI microfabrication, IEEE Trans. Semicond. Manuf. 4, 26 – 35, 1991. 6. H. Kikuyama, I. Kawanabe, K. Saka, N. Miki, M. Miyashita, J. Takano, and T. Ohmi, Buffered hydrogen fluoride, Fluor. Chem. Ultra Clean Technol Series, 11, 31 – 61, 1991.
Chemical Composition Control Technology
283
7. H. Kikuyama, J. Takano, M. Miyashita, T. Yabune, H. Izumi, and T. Ohmi, Optimization of composition of buffered hydrogen fluoride for ULSI processing, in: 1995 Semiconductor Pure Water and Chemicals Conference, Santa Clara, CA, 237 – 259, 1995. 8. K. Kubo, S. Ojima, H. Hiroto, M. Nose, and T. Ohmi, Advanced Wet Treatment System, in: Proceedings of the ULSI Ultraclean Technology Symposium, 24, pp. 83 –91, 1995. 9. T. Kujime, Y. Nishiyama, and T. Ohmi. The cleaning of particles from Si wafer surface by fluorine solution excited by megasonic, in: 1996 Semiconductor Pure and Chemicals Conference, UPW and Chemical Proceedings, Santa Clara, CA, pp. 245 – 256, 1996.
7
Wet Vapor Resist Stripping Technology Senri Ojima Nomura Micro Science Co., Ltd., Kanagawa, Japan
Tadahiro Ohmi Tohoku University, Sendai, Japan
CONTENTS I. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285 II. Wet Vapor Resist Stripping Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285 III. Resist Removal Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
I. INTRODUCTION At present, wet-cleaning using ultrapure water (UPW) and chemicals is a mainstream technology to clean substrate surfaces of ultra large-scale integration (ULSI) devices. RCA cleaning developed by Kern and Puotinen of Radio Corporation of America in 1970 is the most widely used wet-cleaning technique [1,2]. The RCA cleaning is composed of NH4OH/H2O2 cleaning and HCl/H2O2 cleaning. Organic films, such as photoresist films, are hard to remove by the RCA cleaning method. O2 plasma ashing is commonly used to remove them. The resist is burnt and ashed by oxygen radical in O2 plasma. After such steps like photoresist coating, exposure, development, etching, and ion implantation, the residual resist waste needs to be removed. A mixture of 98% H2SO4 and 30% H2O2 in the ratio of 4:1 (SPM, i.e., sulfuric acid and hydrogen peroxide mixing) is used to remove residual resist in chemical cleaning. The SPM cleaning is performed for 3 – 15 min at 95– 1208C [3]. It is also being studied to get residual resist dissolved into ozonated UPW to be removed [4]. Removing the residual resist to which ion is implanted is difficult. The ashing rate tends to decline as the resist with ion gets hardened. A combination of O2 plasma ashing and SPM cleaning is often employed to tackle this problem. The RCA cleaning is also used as a post-SPM cleaning step. Resist with high-concentration ion implanted is particularly hard to remove. Inert polymer layer with low hydrogen concentration is formed on its top surface [5], and the resist film is strongly hardened. Usually O2 plasma ashing is employed to remove this kind of resist, but it always leaves some residue on the substrate surface. Even SPM cleaning cannot totally remove the residue [6]. If metal atoms remain in resist film, they are pushed into Si wafer due to ion collision during O2 plasma ashing. This may induce crystalline defects and affect device characteristics [7]. This chapter will present a technology to use water vapor to remove resist film with highconcentration ion implanted.
II. WET VAPOR RESIST STRIPPING TECHNOLOGY Figure 7.1 shows optical microscopic images of Si wafer surface after resist with high-concentration ion implanted was removed by UPW vapor jet. Even merely by UPW vapor jet, the resist 285
286
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Resist
5 min
10 min
FIGURE 7.1 Wet vapor cleaning using the ultrapure water of implanted resist.
hardened due to ion implantation is partially removed. In this experiment, a test sample was ˚ in thickness was grown. This wafer was prepared by using Si wafer on which SiO2 of 3000 A coated with positive-type resist and baked before phosphorus of 6 1015 atoms/cm2 was implanted. UPW vapor is blasted onto this test sample with a-1.5 kW vapor generator which is capable of blasting a vapor of 80 –1008C continuously. Figure 7.2 shows a conceptual diagram of this wetvapor cleaning mechanism. Gap between Si wafer and vapor nozzle was set at 10 mm. Vapor pressure of UPW jet was 0.1 –0.2 MPa. Figure 7.3 shows optical microscopic images of Si wafer surface treated with wet vapor cleaning using alkali solution. Specifically, KOH of 0.08 wt% was injected to UPW. The resist was completely removed in as short a time as 2 min. Figure 7.4 shows optical microscopic images of Si wafer surface treated with 0.3 wt% UPW to which nonionic surfactant was injected. The cleaning solution featured pH 6. Initially the resist was slightly removed in some areas on the surface and more resist removed as time went by. Resistremoval efficiency of this cleaning solution was found much lower than that of the alkali solution.
Wet vapor supply equipment
0.1~0.2MPa
Steam
75~85°C
10 mm
Resist SiO2 Si
FIGURE 7.2 Wet vapor supply schematic drawings.
Wet Vapor Resist Stripping Technology
287
Resist
1 min
2 min
FIGURE 7.3 Wet vapor cleaning using the alkali aqueous of implanted resist.
Figure 7.5 shows pH dependence of resist-removal efficiency. The sample wafer was coated with i-line positive-type resist to which arsenic of 5 1015 atoms/cm2 was implanted. This figure indicates that rise of OH2 concentration accelerates the process of dissolution and removal of the resist. When KOH concentration was raised to 10 wt% (pH 13.8), the resist of 10 10 mm could be completely removed within 10 sec.
III. RESIST REMOVAL MECHANISM The SPM cleaning removes the resist as strong acid and oxidant in the SPM solution dissolve it. What is the mechanism for wet vapor cleaning to remove the resist? UPW vapor jet can remove resist though it takes some time. Resist-removal efficiency was found to decline when surfactant was added to UPW. This is considered because the surfactant gets adsorbed onto the resist surface and blocks resist removal. This observation suggests that H2O molecule should play a significant
Resist
1 min
3 min
FIGURE 7.4 Wet vapor cleaning using the nonionic detergent of implanted resist.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
288
FIGURE 7.5 Relationship between removal hour and KOH concentration of implanted positive resist (As: 5 1015 atoms/cm2).
role in resist removal. It is also true that gas and liquid coexist in the wet vapor cleaning. Because of the the gas –liquid coexistence, it is speculated that water cluster gets smaller. Authors have considered that the resist gets swollen by H2O molecule which passes through it, and accordingly the resist is removed by vapor flow. In an attempt to prove this speculation, permeation of H2O and several gases through Teflon were studied first. Table 7.1 shows the results obtained. As shown in Table 7.1 a large amount of H2O molecule permeates through Teflon. Since the resist is also made from organic resin, it is speculated that H2O molecule should easily permeate through the resist as well. As described in Chapter 10, oxygen permeation through PFA pipe was also studied. UPW with dissolved oxygen of 5 ppb (mg/l) or Ar gas was fed into PFA pipe. This PFA pipe was placed in an ambience with O2 gas flow at 0.5 MPa. Under these conditions, oxygen permeation through the wall of the PFA pipe was measured. When UPW was fed into the PFA pipe, oxygen of 50 ppb (mg/l) was found dissolved in UPW. When Ar gas was fed into the pipe, no oxygen was detected. Giving considerations to detect the limit of gas concentration measurement, the authors have concluded that oxygen permeation through the PFA pipe is several thousand times higher when UPW was fed into the pipe.
TABLE 7.1 Teflon Permeability of Any Gas (cm3 mm/m2 day atm)
O2 N2 CO2 H2O
PTFE [8]
FEP [9]
147 50 1469 2333 [9]
389 141 112 3283
Wet Vapor Resist Stripping Technology
289
This experimental result indicates that water contributes to increased oxygen permeation into PFA resin, which suggests that gas permeation into an organic film should also be facilitated in an ambience where water exists. The authors studied a model in which the PFA resin was replaced by a resist resin. When water comes in contact with the surface of the resist resin, penetration of H2O molecule in vapor into the resist resin is facilitated. The resist resin gets swollen as H2O molecule permeates through it, and the swollen resist is easily removed by vapor-jet flow. In other words, water featuring high relative dielectric constant weakens the covalent bond between resist carbon atoms (or reduces binding energy of resist carbon atoms), which makes it easier for H2O molecule cluster to get smaller because of the vapor that permeates through resist resin. After penetrating into the resist, gaseous vapor stops at Si –resist interface and turns into liquid, and the resist swells. Because of the relative dielectric constant of water (81), the binding energy of carbon atoms gets weakened, and eventually, the resist is lifted up from the Si substrate by vapor-jet force. As described in detail in Chapter 1, binding energy of a molecule is inversely proportional to square of the dielectric constant. When carbon molecules are dissolved into water, their binding energy drops due to high relative dielectric constant of water (1r ¼ 81). Covalent bonds such as H2, N2, O2, F2, Cl2, and Br2 are composed of identical atoms with the same electronegativity, and thereby they do not allow electrons to be localized somewhere away from the center to feature any polarity. External leakage of electron current lines is very limited. These covalent bonds are hardly affected by the surrounding medium. It is true that the electron with negative charge attracts polarized terminal of molecules with positive charge (via Coulomb force), but none of the molecules mentioned above get decomposed or dissociated even when they are dissolved in water, because they are nonpolar. However, ionization energy of H2 molecule in gaseous ambience is about 15.4 eV, whereas in UPW it is about 4 eV. In solvents featuring high relative dielectric constant, even covalent-bond molecules feature lower binding energy and ionization energy. Figure 7.6 shows time dependence of thickness of the oxide layer grown on Si surface exposed to the air at room temperature. In the air, Si surface is oxidized and accordingly, thickness of the oxide increases over time. When H2O concentration in the air is controlled to be low (H2O , 0.1 ppm), Si surface is scarcely oxidized even after a 7-day exposure [10]. 1 In Air(H2O:~1.2%) n (10E15cm−3)
Oxide Thickness [nm]
0.8
In O2:N2=1.4(H2O:<0.1ppm) n (10E15cm−3)
0.6
0.4
0.2
0 1
10
100
1000
10000
100000
Time [min]
FIGURE 7.6 Time dependence of the oxide film thickness formed in Si surface exposed to the atmosphere at the room temperature.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
290
FIGURE 7.7 Decrease in the adhesion of resist and silicon.
When Si surface is exposed to the air in which H2O concentration is not specially controlled, the surface is covered with several tens layers of H2O molecules. This surface condition is just the same as when Si substrate is immersed into UPW. Owing to extremely high relative dielectric constant of H2O (1r ¼ 81), Si bond on the surface is weakened, and the electrons that could to the covalent bond is taken out into H2O layers. As a result, Si surface is easily oxidized in the air even at room temperature [11]. The authors speculate that the same phenomenon (the same influence of H2O in ambience) might take place in covalent bond of carbon atoms. It is reported that resist with ion-implanted in it features very low hydrogen concentration [5]. It is also reported that ion-implantation modifies the resist structure to become somewhat similar to diamond structure [12]. Microscopic images in Figure 7.7 indicate weakened adhesion between the resist and Si surface because of vapor permeation. Figure 7.8 and Figure 7.9 show a resist-removal model. Binding energy of C22C bonds gets lowered because of H2O with high relative dielectric constant, which allows H2O molecule in vapor to easily permeate through the resist. At Si –resist interface, gaseous vapor turns to liquid water. As a result, H2O molecule makes the resist swollen. In parallel, as binding energy of Wet vapor
O
H
εr = 81
H
O
H
Binding energy Lowering Vapor jet
O
H
H
H
O H
O
C C
H
C C
O H
C
C
FIGURE 7.8 Resist stripping mechanism (1).
H
C
C
H
C
C
H
H
C
O H H
H
Gas →
C
O H
C
O H
O
H
H
O H
O C
H
C
C
C
H
O
C
O
C
Ion implanted resist
H
C
C
O H
O
H
H
O
C
H
H
C H
C
H
H
O
Liquid
C
Wet Vapor Resist Stripping Technology
291
Wet Vapor Wet Vapor
Wet Vapor
H2O
εr = 81 H2O C C
C
C
Wet Vapor
H2O C
C
C
SiO2
H2O C H2O
C
C
C
C
C
C H2O
H2O H2O H2O H2O
SiO2
H2O H2O
H2O H2O SiO2
SiO2
Wet Vapor
C
C C C
C
H2O
C
Wet Vapor
C
C
H2O H2O
H2O H2O H2O H2O SiO2
C
C
C
H2O H2O
H2O
H2O H2O H2O H2O SiO2
SiO2
FIGURE 7.9 Resist stripping mechanism (2).
C22C bonds gets lowered because of H2O with high relative dielectric constant (1r ¼ 81), the resist is easily lifted off from Si surface by vapor-jet flow. Wet vapor resist removal is driven not only by dissolution but also by physical stripping.
REFERENCES 1. W. Kern and D. Puotinen, Cleaning solutions based on hydrogen peroxide for use in silicon semiconductor technology, RCA Rev., 31, 1970. 2. W. Kern, Ed., Handbook of Semiconductor Wafer Cleaning Technology, Noyes Publication, NJ, 1993. 3. D. Burkman, Optimizing the cleaning procedure for silicon wafers prior to high temperature operations, Semicond. Int., A, 103–114, 1981. 4. S. Nelson, 18th Annual Semiconductor Pure Water and Chemicals Conference, Semiconductor and Chemicals Confrence, Santa Clara, CA, pp. 327 – 336, 1999. 5. K. Hirose, H. Shimada, S. Shimomura, M. Onoda, and T. Ohmi, J. Electrochem. Soc., 141, 1994. 6. S. Omae, T. Jizaimaru, S. Ojima, and T. Ohmi, in: Proceedings of the 192nd ECS Meeting and 48th International Society of Electrochemistry Annual Meeting, Paris, France, Abstract No. 1935(97 – 2), pp. 2238– 2239 1997. 7. M. Kahiwagi and T. Hatori, Clean Technology of Silicon Wafer Surface, Realize Inc., pp. 223 – 225 1995. 8. Nikkei, Microdevice, Challenge to ppt: Ultraclean Technology, pp. 90, 1988. 9. A. Lebovits, Permeability of Polymers to Gases, Vapours and Liquids, Modern Plastics, 43, 139 – 211, 1966. 10. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and M. Ohwada, J. Appl. Phys., 68, 1272– 1281, 1990. 11. T. Ohmi, Ultra clean ULSI technology, Baaifukan, 210 – 212, 1995. (in Japanese) 12. K. Shibata, K. Ueda, and K. Maeno, Silicon device and materials, IEICE, 51 – 57, 1995.
8
Antistatic Technology Kenichi Mitsumori Alps Electric Co., Ltd., Sendai, Japan
Takashi Imaoka ORGANO Corporation, Tokyo, Japan
CONTENTS I. Mechanism of Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Main Mechanisms of Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Contact – Frictional Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Peeling Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Combined Electrification of Friction and Peeling . . . . . . . . . . . . . . . . . . . 4. Streaming Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5. Induction Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6. Spray Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Spray Electrification and Countermeasures . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. One of the Generation Processes of Spray Electrification is Development Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Adverse Effect of Electrostatic on the Device . . . . . . . . . . . . . . . . . . . . . . a. Adverse Effect of Electrostatic Charge Quantity . . . . . . . . . . . . . . . b. Adverse Effect of Electrostatic Impressed Time . . . . . . . . . . . . . . . 3. Evaluation of Spray Electrification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4. Electrostatic Countermeasure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a. Neutralizing Spray Electrification: Neutralization using Ionizer and Soft X-Rays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . b. Neutralizing Spray Electrification: Voltage Control of Pipe . . . . . . 5. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Surface Electrostatic Charge Measurement Technique . . . . . . . . . . . . . . . . . . . . . . . A. Significance of Static Electricity Control in ULSI Manufacturing Process . . . B. Principle of Electrostatic Charge Measurement . . . . . . . . . . . . . . . . . . . . . . . . C. Electrostatic Charge Measurement and its Applications . . . . . . . . . . . . . . . . . 1. Measurement of Electrostatic Charge on PFA Surface (Insulator Surface) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Measurement of Electrostatic Charge on Parallel-Plate Capacitor (Conductor Surface) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Measurement of Dielectric Relaxation of Polymer Materials . . . . . . . . . . 4. Evaluation of Destaticization Capability of IPA . . . . . . . . . . . . . . . . . . . . a. Measurement of Destaticization Capability of IPA Vapor . . . . . . . . b. Destaticization Capability of Liquid-Phase IPA . . . . . . . . . . . . . . . . c. Voltage of Charged-Up Object and IPA Destaticization Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
294 294 294 294 296 296 296 296 297 297 297 298 299 301 301 303 304 304 307 307 307 307 308 308 308 309 310 311 311 311 312 293
294
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
d. Destaticization Capability of IPA Aqueous Solution . . . . . . . . . . . . e. Destaticization Mechanism of IPA Vapor Dry Process . . . . . . . . . . D. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
312 313 314 314
I. MECHANISM OF ELECTRIFICATION KENICHI MITSUMORI
A. INTRODUCTION The electrostatic issue, classic yet new, has become obvious along with the progress in more integrated designing of semiconductor device in a large area from large scale integration (LSI) designing and manufacturing to application, and is now taken measure of. The electrostatic issue is considered to be such a significant issue that books on it are still being published. As Si wafer and liquid crystal panel substrate grow in sizes together with continued progress in more integrated designing, certain problems also develop: (i) drop in yield due to electrostatic discharge that lowers the design tolerance of LSI and (ii) increased adsorption of particles by electrostatic forces of attractive. We should therefore take measure against electrostatic issue from the view point of the prevention of the yield decrease, caused of LSI designing tolerance by ESD and increased adsorption of particles by electrostatic force. The electrostatic issues in LSI and liquid crystal panel manufacturing process can be categorized as follows from main mechanisms of electrification. They can be classified into contact – friction, peeling, combined form of peeling and friction, streaming, induction electrification, collision, spray, and combined form of spray and collision [1]. General information on major electrostatic generation mechanism will be discussed here. Spray electrification will be discussed lastly, clarifying the generated issue from manufacturing process of liquid crystal display, perspective, adverse effect to liquid crystal display, and solution to the problem.
B. MAIN MECHANISMS OF ELECTRIFICATION [2] Contact electrification caused by contact objects should be the first electrification phenomenon to consider. Electrification phenomenon between metals occurs in proportion to the work function between the two metals. Electron shifts from the lower work function metal to the higher work function metal, making the lower work function metal to become positive in potential and making the higher work function metal to become negative in potential. In the case of electrification caused by a contact of semiconductor and metal, it is determined by the relative position of Fermi potential level of the metal and Fermi potential level of the semiconductor. For example, if the Fermi potential level of the semiconductor is shallower than that of the metal, electrons will shift from the semiconductor to the metal until the Fermi potential level is equal. This is how the electrification is generated. The electrification mechanism of insulating material is not precise like that of metals and semiconductors. However, many researchers have successfully obtained the series of frictional electrification so far. An electronic level is thought to exist on the surface of insulation materials and the electrification is considered to occur depending on the difference between the electronic levels of the materials that meet. Figure 8.1 shows the outline of the main electrification mechanism. The outline of main electrification mechanism will be explained using this diagram. 1. Contact –Frictional Electrification When the solid objects touch each other, charges move from one another. In addition, more charges will be splitted when there is sliding friction of the solid objects. If the surface resistance
Antistatic Technology
295
(1) Frictional electrification Q = CV ESD Combined
(2) Peeling electrification
(3) Streaming electrification UPW
(4) Spray electrification UPW Teflon Si wafer (5) Induction electrification ESD
FIGURE 8.1 Static electricity generation mechanism.
Amount of electrification of various objects when rubbing against metal
of the object is small like that of a metal, the splitted electrons instantaneously return to equilibrium state by a relaxation phenomenon. On the other hand, if the surface resistance is large like an electric insulator, the splitted electrons do not move and stay there, the electrification will occur. This is frictional electrification. If the number and the force (excess weight) of frictional contacts increase, the potential as well as the quantity of charges increase, eventually resulting in an equilibrium state of high potential and high quantity of electric charges. If the moisture of the surrounding is high, electric charges will quickly come to the equilibrium state of constant low charge potential. This is caused by the relaxation phenomenon of surface leakage current. Controlling moisture is one of the charge-prevention measures. The series of frictional electrification is obtained by causing friction between two materials and judging which materials charge positively or negatively. An example of the series of frictional
+
0
− (+) Asbestos
Mica
FIGURE 8.2 The schematic diagram of an example of electrification row.
Grass
Nylon
Silk
Wool
Cotton
Metal
Paper
Sulfur
Polystyrene
Polyethylene
Teflon
(−)
296
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
electrification [2] is shown in Figure 8.2. Teflon used for cleaning equipment charges negatively the most, polyethylene resin, polyethylene and sulfur charge negatively. Paper, cotton, silk, wool, nylon, mica, glass, and asbestos will charge more positively in the listed order. Polyvinylchloride resin charges negatively, acrylic resin is likely to charge positively as well as quartz. 2. Peeling Electrification Wafer is cut after pasting it to a pressure-sensitive adhesive sheet in the dicing process of semiconductor manufacturing. The pressure-sensitive adhesive sheet is usually used by peeling off sheet by sheet from the roll. A sheet peeled off from the core usually takes large amount of charges. The charge caused by peeling off the materials (taking hold) is the peeling electrification. If the wafer is pasted to the sheet peeled off only seconds before, or if it is peeled off again, peeling electrification causes damage to the devise (that is inside the wafer) that sometimes can lead to dielectric breakdown of LSI gate oxide film. The glass surface protective film or color filter of liquid crystal panel is high in electric insulation. Therefore, high potential followed by ESD will occur if peeling and pasting is done in a rapid manner. A devise loaded in liquid crystal panel could be destroyed by ESD in some cases. These are the examples of issues with the peeling electrification. 3. Combined Electrification of Friction and Peeling Sometimes, friction and peeling electrification occur simultaneously. The typical process is the rubbing process that fixes the orientation of a liquid crystal in the liquid crystal panel manufacturing. In this process, frictions occur at the contact point of rotating roller with the glass substrate and thus friction electrification is generated. At the same time, contact electrification occurs by the rotation of the roller and glass substrate movement, which cause peeling electrification. The electrification part of the rotation roller and the electrification part of the glass substrate mutually part along with the rotation of the roller and the movement of the glass substrate. So, the capacitance C of the quantity of electric charge Q ¼ CV gets smaller and the V gets larger. Furthermore, ESD occurs between the rotating roller and glass substrate. Consequently, discharge sound can be heard close to the rubbing process and discharge light can be seen sometimes by darkening the area of the rubbing process. 4. Streaming Electrification The semiconductor cleaning system uses a thin and highly insulating Teflon pipe through which ultrapure water (UPW) and the chemical solution are delivered. Electrification could occur at this time in the highly insulating Teflon pipe. This phenomenon is called streaming electrification. Peeling electrification occurs between UPW and Teflon pipe when supplying the UPW into the Teflon pipe. Charge in the electric double-layer moves with liquid when the current speed of the UPW in the pipe is high. Hence, this becomes a streaming electric current and electrification potential distribution occurs in the Teflon pipe. If the electrification relaxation is slow, the UPW changes to a charged state when it flows into the metal cup which is electrically insulated, showing opposite polar character as that of the Teflon pipe, as shown in Figure 8.3. 5. Induction Electrification The metal plate in the middle of the electrically charged object and ground (earth) takes over electric potential V of the electric-charged object by content division form, and division of positive and negative charges occurs based on the relation between the electrically charged object and the ground (earth) at the same time. For example, as shown in Figure 8.3, if the capacitance C1 of electrically insulated metallic cup and ground is much smaller than capacitance C2 of the liquid
Antistatic Technology
297 Valve
Pipe
Electrification liquid
Induced charge
Insulator
+ + + + + + + + + + + + + ++
+ + + + + + +
+ + ++ + + + + ++ + + + + + + ++ + + + + + ++ ++ ++ ++
Metal container
+ + + + + + + + + + + ++ +
Electrical discharge
Earth
FIGURE 8.3 Metal container where electrostatic induction was received by electrification of liquid.
and metallic cup, liquid charges positive. Assuming the electric potential as V1, electric potential V2 of the metal cup is as follows: V2 ¼
V1 C2 : 8 : V1 C2 þ C1
C2 C1
In other words, when an electric charge equivalent to the liquid moves into the metallic cup, the outside of the metallic cup gains positive potential and the inside of the metallic cup gains negative potential. A person recieves electric shock when he/she touches the cup in this condition. If the metallic cup is grounded in this condition, ESD occurs or negative charge (electron) rapidly moves into the metallic cup. If the positively charged liquid is drained, in this case the negative charge remains in the metallic cup and the metallic cup is re-electrified negatively. This series of electrification phenomenon is called induction electrification. 6. Spray Electrification When water is jetted from the narrow mouth of a grounded metal nozzle, the water is separated from the continuum flow of the water to form droplets, and individual droplets have charges. This is spray electrification. This phenomenon occurs in spray cleaning of semiconductor substrate. The electrified water droplets that are jetted from narrow mouth of the grounded metallic nozzle accumulate charge to the wafer in cleaning, and when a part of the charged wafer is grounded, the gate oxide film of the devise inside the wafer is destroyed by ESD. Especially with the high-pressure water jet cleaner, sprayed water from the jet cleaner could be in a very high electric potential level due to peeling electrification of the liquid. The spray electrification has many problems to be solved.
C. SPRAY ELECTRIFICATION AND COUNTERMEASURES 1. One of the Generation Processes of Spray Electrification is Development Process Electrostatic issues will be discussed using the inverted staggered structure used in many of the thin-flim transistor (TFT)/liquid crystal display (LCD) in practical use as example. The outline of the basic chip structure is shown in Figure 8.4. The gate electrode is the bottom layer in this structure, and source – drain electrodes get formed through the gate insulating film and amorphous silicon film (that is a semiconductor film). Outline structure of TFT and LCD are shown in Figure 8.5. A good step coverage will be demanded throughout the full display area by the scan
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
298 (a)
(b) Source electrode n+a−Si:H
Drain electrode a−Si:H Passivation film
Transparent electrode
Gate electrode Gate insulator
Glass substrate
FIGURE 8.4 TFT device: (a) photograph of TFT; (b) cross-section of TFT.
line driving the TFT and the signal line to give the signal charge as prescribed to scanning a spot crossing through gate insulating film. Electrostatic issue was tested using the above device. Figure 8.6 shows the comparison of TFT V –I characteristic when the development process of a different method goes through the above device. With this TFT structure used for testing, the three electrodes, gate, source, and drain are independent for clarifying the problems of the process. One of the development methods is inline spinning sheet-fed automatic development. The substrate is vacuum-contacted to the stage spins at the spinning mode as prescribed; it is developed, rinsed, and dried continuously using the chemical solution and UPW sprayed from the nozzle. The other is manual development. In this case, several substrates are set up at PFA-made carrier and are dipped and developed in a container filled with developing fluid. After this, rinsing is done using UPW, and then the whole carrier is dried using the spin drier. As can be seen from (a) and (b) in Figure 8.6, with the inline spin method, approximately 10 V shift of the threshold electric potential to the positive direction was seen. On the other hand, no threshold voltage potential shift was seen with the manual method. 2. Adverse Effect of Electrostatic on the Device It is well known that TFT threshold voltage is affected by the quality of gate insulator film and the boundary face quality of the semiconductor film [3 –5]. Transparent and moderately priced glass is the TFT substrate material. The process temperature cannot be raised because the glass strain point
Common electrode Liquid crystal Glass substrate Polarizer film Data line
Scan line Pixel
Pixel electrode Thin film transistor (TFT)
FIGURE 8.5 The schematic diagram of TFT and LCD.
Antistatic Technology
299
FIGURE 8.6 Characteristic deterioration of TFT device caused by static electricity. Comparison of different development processes.
is around 6008C, which is low when compared with silicon. The amorphous silicon is a semiconductor, and the gate insulator films are usually formed by plasma chemical vapor deposition at as high as 3008C. So, lattice defect is likely to appear when compared with an insulator film formed by oxidation of high temperature processes like that of MOS device, and high amount of electron-trap levels exist in the film [3]. These trap levels and boundary face levels easily pick up the charges generated in the manufacturing process and eventually the TFT threshold voltage in result [3 – 5]. This shift of threshold voltage can be recovered by 1808C and 2 hr heat treatment in nitrogen atmosphere. a. Adverse Effect of Electrostatic Charge Quantity To date there has been no example that handles electrostatic phenomenon generated in TFT manufacturing process specifically and quantitatively. It is necessary to show in the manufacturing process up to what level the electrostatic phenomena should be suppressed in the process. Therefore, relationship between process conditions such as the quantity of electric charge and the time of electric charge impressed to TFT and the electric properties of TFT have to be clarified. On the basis of this, the relationship between process conditions and the generated electrostatic phenomena should be researched and rational management criteria should be decided on the actual process. The testing system that measures the values such as electric charge quantity and time impressed to TFT and TFT electric properties is shown in Figure 8.7. An electrostatic simulator (ESS-606, Noise Laboratory Co., Ltd.) was used for charge impressing. It is charged up to 200 pF through SW1 from a power source. SW1 and SW2 switches distribute the charge to TFT and other parasitic capacitance. The source and drain electrodes of TFT are short-circuited and conditioned to MIS structure in measuring. Law of conservation of charge holds true immediately after switching. After the completion of charge distribution, the charge distributed by resistance Rleak flows into GND. The time of charge impressed onto TFT is estimated. The time of charge impressed onto TFT is estimated to be the time constant that is equal to the product of total capacitance and
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
300
FIGURE 8.7 Equivalent circuit of measurement system with static electricity simulator.
Shift amount of threshold voltage (V)
resistance Rleak. The real quantity of electric charge and time given were estimated by monitoring the output waveform appearing at both ends of TFT with a digital oscilloscope. The amount of variation of the threshold voltage was investigated after impressing charges using this method. The voltage was defined as the threshold voltage when the electric current between the source and the drain in transistor is 1 1029 A to make observational studies easier. Figure 8.8 shows the result of the quantity of electric charge. The horizontal axis indicates the actual voltage impressed to the TFT gate. To be more precise, it shows the initial voltage of attenuation curve obtained from observing the output waveform. The quantity of electric charge can be calculated by a simple multiplication of the MIS capacitance (0.13 pF of TFT used in the experiment) by the measured voltage. The threshold voltage does not change under 75 V (Figure 8.8). Above 75 V, the threshold voltage starts to rise depending on the increase in the impressed voltage. Converting the impressed voltage of 75 V to the quantity of electric charge would make the charge quantity 50 TFT size 40
W/L = 20 µm/10 µm
30 20 10 0 0
100
200
Impressed charge to TFT (V)
FIGURE 8.8 Influence given to TFT device characteristic of impressed charge.
300
Antistatic Technology
301
approximately to 10 pC, which is significantly small in quantity. In other words, when the charge is provided in unbalanced amounts between the gate electrode and source– drain electrodes, moreover, with the devise used in this test, TFT property seems to deteriorate if the quantity of electric charge impressed to TFT is above 10 pC/TFT. b. Adverse Effect of Electrostatic Impressed Time Next, we controlled the actual time that the electric voltage impresses on to the transistor gate by changing the amount of resistance Rleak shown in Figure 8.7. By observing the output waveform, we obtained the attenuation curve of different time constants and also confirmed that the initial voltage immediately after the switching was 111.6 V. We easily changed the voltage-impressed time using a DC power source, whenever the impressed time of charge is more than several seconds. The voltage was 111.6 V at these instances. The test result is shown in Figure 8.9. The horizontal axis shows the charge-impressed time and vertical axis shows the shifting in the value of threshold voltage before and after impressing. The shifting in threshold voltage charges linearly with the change of the voltage-impressed time, within the given range studied. Converting the voltage of 111.6 V to the quantity of electric charge would yield a charge quantity of about 15 pC. What we can see from the figure is that the property deteriorates when an unbalanced condition of the quantity of electric charges develops (between the electrodes) even for a significantly short duration. To force the shift of threshold voltage to 1 V as an experiment, neutralization has to take place in a short time interval such as 10 msec. This is impossible with the current neutralization technology. Consequently, there is a need for an electrostatic measure such that it does not distribute unbalanced charges between the electrodes of the devise in the first place. 3. Evaluation of Spray Electrification
Shift amount of threshold voltage (V)
In this section, the investigation will be on how much electrostatic charge is actually being generated with the inline spinning method of the development processes. As indicated in Figure 8.6, there is no V– I property deterioration of TFT seen in the manual method. The difference between the two methods is that the developing fluid and UPW are sourced from the nozzle to the substrate, and there are contacts and peelings of some of the materials in substrate delivery system. We tried to measure the amount of electrostatic charge on the substrate for the purpose of specifying charge-generated point. A glass substrate that has an aluminum metal film on the 102
101
100
10−1
10−7
10−5
10−3
10−1
101
103
Impressed time of charge (V) Vout = 111.6V
FIGURE 8.9 Influence given to TFT device characteristic of impressed time of charge.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
302
surface was used for measurement. This substrate can be charge-free on the surface when it just touches GND port. The amount of electrostatic charge generated on the substrate was estimated as the surface voltage. An electrostatic voltmeter (Monroe Electronics Model-244) was used in the test. The electrostatic capacitance of the substrate was about 200 pF. The development process consists of development, UPW rinsing, and drying, and each process was tested individually. First, we investigated if an electrostatic phenomenon would be generated with spin-drying alone. Rotation speed was raised up to 4000 rpm but the surface voltage was always kept at 0 V. It became apparent that the electrostatic phenomenon does not get generated with friction between the air caused by rotation of the substrate and the substrate with aluminum in an air atmosphere with a moisture content of 45% in clean room. Then we carried out UPW rinsing and investigated the electric voltage of the substrate surface after spin-drying. The substrate rotation speed at UPW rinsing, which is one of the process conditions, was used as a parameter in the experiment, and the surface electric voltage reduced along with the rise in rotating speed as shown in Figure 8.10. We also changed nitrogen gas pressure to test as the nozzle was a double fluids nozzle of nitrogen and UPW. The result is shown in Figure 8.11. The surface voltage rose along with the rise in nitrogen gas pressure. This is because of streaming electrification: the current speed of the UPW blasting off raised and increased the quantity of charge (carried to the substrate surface per unit time) with the increase of streaming electrification. Figure 8.12 shows an example of measurement of the substrate voltage with a simple testing system. The electric voltage was sensed from the spinning substrate surface with a metal brush and was measured with an electrostatic voltmeter, which was then fed to a recorder. We can see that the substrate surface voltage increases directly with supplying of the UPW. The cause of the reduction of surface voltage after supplying the UPW is due to the leak in measuring system. Spraying the UPW directly without touching the substrate with a metal brush resulted in interrupted lines like in Figure 8.12. From this we found out that at least one of the reasons of substrate charges is the UPW supplied as seen from the polarity measurement [6]. The UPW is carrying positive charges from the nozzle to substrate surface as seen from the polarity measurement [6]. A discussion in which part of the UPW droplet the charge exists and how it is carried to the substrate would result as follows. The UPW used in the measurement is the specific resistance of 18.2 MV cm and relative permittivity is about 80, which makes dielectric relaxation time of 1.3 1024 sec. On the other hand, from the fact that the distance between the nozzle and substrate
Ultrapure water Nozzle
N2 gas
Measurement flow Reset of surface potential
Spin dry
3000 rpm 30 sec
Surface potential (V)
Ultrapure water × rpm Shower rinse 60 sec
2000
1000
0 0
Measurement of surface potential
1000
2000
Rotational speed (rpm)
FIGURE 8.10 Residual surface potential of Al – glass substrate (rotational speed dependency).
Antistatic Technology
303 2000
Surface potential (V)
Rotational speed 1000 rpm
1000
0 0.0
0.5
1.0
1.5
2.0
N2 pressure kgf/cm2
FIGURE 8.11 N2-pressure dependency of surface potential of Al – grass substrate.
surface is 7 cm and the current speed is about 100 cm/sec, it takes 7.0 1022 sec for the UPW to get to the substrate surface from the nozzle. Hence, the charge is thought to exist on the water droplet surface. From the above experiment, we found out that the electrostatic charge is generated in UPW rinsing process of inline spin method. Given this factor, we carried out UPW rinsing and spin-drying to the TFT substrate, and a threshold voltage shift to the positive direction was generated. From this it is understood that the UPW rinsing process caused the threshold voltage shift as shown in Figure 8.6. 4. Electrostatic Countermeasure The most well-known neutralization apparatus used nowadays are ionizer [7] and ion blower. These in principle cannot make the charged object voltage to 0 V as they are AC driven and positive and negative ions are generated alternatively, moreover, they act as a source of ozone, dust, and
FIGURE 8.12 Electrification of substrate and potential of UPW.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
304
electromagnetic noise. A neutralization technology using UV rays and soft x-rays was developed to solve this problem [8]. The UV rays have the best performance in reduced pressure atmosphere and soft x-rays in air atmosphere. This enabled the electrostatic countermeasure of processes from reduced pressure to atmospheric pressure [8,9]. a. Neutralizing Spray Electrification: Neutralization using Ionizer and Soft X-Rays We investigated whether ionizers and soft x-rays can neutralize the charged substrate in the development process by inline spinning method where the continuous charge gets generated. The result of UPW rinsing with the neutralization by using ionizer and soft x-rays is shown in Figure 8.13. From this we can see that not much neutralization can be expected with ionizers and soft x-rays in a process where electrostatics gets generated continuously. Eventually, it is important to take a countermeasure so that the UPW does not get charged in the first stage. Adding carbon dioxide to the UPW and UPW rinsing with specific resistance of the liquid at 2 MV cm did not show much charge, as indicated in Figure 8.13. Adding carbon dioxide is an effective method at this time [10]. However, this would mean using UPW of reduced purity. An electrostatic elimination technology makes the most of the distinguished property of UPW and achieves electrostatic-free conditions as desired. b. Neutralizing Spray Electrification: Voltage Control of Pipe Analyzing where the charge is generated, in the process where the electrostatics is continuously generated, we realize that charge is presumably generated at the moment the UPW sprays out of the nozzle, that is, the nozzle used in inline spinning method development process. Hence we made an experimental system as shown in Figure 8.14(b), calculating the current (I ¼ @Q/@t) at the time when changes in time of quantity of charge was measured, while inside the Faraday Cage, charged water droplets were sprayed from the nozzle. The result is shown in Process flow (Time chart) Rotational speed
3000 rpm
1000 rpm
0 rpm on UPW
off
10 sec Ionizer none Ion blower (AC type) Soft-Xray
200V
Ultrapure water with carbon dioxide (ρ = 2MΩ⋅cm)
Start
FIGURE 8.13 Electrification of substrate and method of preventing electrification.
Antistatic Technology
305
FIGURE 8.14 Measurement result of positive electric charge and negative electric charge.
Figure 8.14. Current measured was approximately 3.33 1027 A of positive charge. On the other hand, with resistance (R) of 100 KV and voltage (V) measured using input impedance (r): 1 MV voltage indicator, we calculated the current (I ¼ V/(Rr/(R þ r)) flowing from nozzle to earth. The measured current was approximately 3.19 1027 A of negative charge. From this we found out that positive and negative charges are split in almost an equal amount at the nozzle, and the pure water charges are only positive [11]. As we understood the charge mechanism given above, we made an experimental system as shown in Figure 8.15 for neutralization. Stainless steel (SUS-316L) tube parts were connected to the tip of an 1/8 in. diameter Teflon pipe, and neutralizing the pure water by connecting the DC
Teflon Pipe
Stainless steel pipe
Power source
Substrate UPW
Spin processor
FIGURE 8.15 Experimental system.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
306
Surface potential of substrate (V)
150 100 50 0 −50 −100 −150 −200 −600
−400
−200
0
200
400
600
Impressed voltage of pipe (V)
FIGURE 8.16 Correlation between the impressed voltage of pipe and the surface potential of substrate.
power source to the parts was attempted. The result is shown in Figure 8.16. The surface potential of the substrate has almost a rectilinear relation with pipe-impressed potential of DC power source and can almost be considered as 0 V. The impressed charge of power source is approximately 100 V [11]. As a sequence, we investigated whether neutralization of the UPW is possible from the nozzle used in inline spinning method development process. The nozzle flow pressure of the pure water is 1.7 kgf/ cm2. The power source was connected to the stainless steel nozzle as in the above case. The result is shown in Figure 8.17. The fact that the board surface potential and power source impressed potential have mutual relations and the existence of an impressed potential of which the substrate surface potential can be considered as 0 V became apparent. The potential is approximately 23 kV (11). The substrate surface potential changes by changing the assumption of pure water rinsing, and it can be controlled to be 0 V by obtaining the relationship between each power source impressed voltage and substrate surface charge potential.
Surface potential of substrate (V)
1200 UPW
1000 800
Power source Nozzle
600 400
Substrate
200 0 −200 −4000
−3000
−2000
−1000
0
1000
2000
Impressed voltage of nozzle (V)
FIGURE 8.17 Correlation between the impressed voltage of nozzle and the surface potential of substrate.
Antistatic Technology
307
5. Summary There is a rinse process using UPW in liquid crystal display manufacturing process as stated earlier, and continuous generation of electrostatic charge is seen in this process. This electrostatic charge causes a deterioration in the properties of the TFT device. TFT deterioration occurs at significantly short time durations. It became clear that there is a need for an electrostatics measure wherein electrode potential of TFT is balanced. Ionizers and soft x-rays are developed and used as neutralization agents, but it is not adequately known whether this pertains to the neutralization of continuous generation of electrostatic charge. A method to set 0 V for the substrate surface potential by controlling the potential of nozzle for UPW rinsing was tested as a method to prevent the generation of electrostatic charge. This was done without detracting the feature of ultrapure water. As a result, we learned that the substrate surface potential can be maintained at almost 0 V.
REFERENCES 1. A. Fujie, Outline of Electrostatic Problems in Semiconductor Device, NIKKEI Microdevices, Japan, 1995. 2. Handbook of Electrostatics, The Institute of Electrostatics Japan, Ohmsha, pp. 55 – 65, 1988. 3. M.J. Powell, Appl. Phys. Lett., 43, 597– 599, 1983. 4. C.V. Berkel, et al., Appl. Phys. Lett., 51(14), 1094– 1096, 1987. 5. M.J. Powell, et al., Appl. Phys. Lett., 41, 16, 1242– 1244, 1987. 6. K. Asano, Trans. IEE Jpn, 108-D, 4, 362 – 368, 1988. 7. Handbook of Electrostatics, The Institute of Electrostatics Japan, Ohmsha, pp. 819 – 823, 1988. 8. H. Inaba, and T. Ohmi, in: Proceedings of 22nd Workshop on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, 63– 78, 1992. 9. T. Ohmi, in: Proceedings of 22nd Workshop on ULSI Ultra Clean Technology, Ultra Clean Society, Tokyo, 1 – 2, 1992. 10. Science of Ultrapure Water, Ultra Clean Society Japan, Realize Inc., 1990, pp. 379 – 402. 11. Japan Patent No. 2662925.
II. SURFACE ELECTROSTATIC CHARGE MEASUREMENT TECHNIQUE TAKASHI IMAOKA
A. SIGNIFICANCE
OF
STATIC ELECTRICITY CONTROL
IN
ULSI MANUFACTURING PROCESS
Yield and reliability of ULSI devices are affected significantly by their manufacturing process environment. To raise cleanliness of ULSI-manufacturing environment, several factors need to be controlled, among which static electricity control is one of the factors, as it severely affects the production yield. Common problems caused by static electricity include device failures such as dielectric breakdown and wire breakage due to discharge of static electricity. The device failures take place when a charged-up wafer gets close to a grounded conductor or when a charged-up object gets close to a device. Electrostatic adhesion of particle to wafer and wafer carrier is a secondary impact induced by static electricity [1]. Use of ionizer or UV lamp is proven effective to eliminate static electricity in the air or in N2 ambience [2]. As a means to eliminate static electricity in wet processes, IPA (isopropyl alcohol) vapor dry process is attracting attention [3]. Traditionally, charge-up potential of an object was used as an indicator of static electricity in the discussions on how to resolve various problems induced by static electricity. In other words, it was not common to directly measure absolute charge-up level on a surface. This section will introduce a new technique of surface electrostatic charge measurement that makes use of
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
308
outstanding destaticization capability of Faraday Cage and IPA. Applications of this technique to various static electricity measurements will also be discussed.
B. PRINCIPLE
OF
ELECTROSTATIC CHARGE MEASUREMENT
The electrostatic charge measurement system used in a series of our experiments is designed based on the theory of Faraday Cage. Specifically the system makes use of the nature that when a chargedup object is placed in a closed isolated conductor, true electrostatic charge of the charged-up object shows up on the external surface of the conductor. Figure 8.18 shows the conceptual diagram and the equivalent circuit. Electrostatic charge of a sample object placed in the Faraday Cage shows up at the both electrodes of capacitor (Cm). By measuring voltage (V) of this capacitor with an electrometer, electrostatic charge of the sample can be obtained as: Q ¼ Cm V where Q is the electrostatic charge (C); Cm is the capacitance of capacitor (F); and V is the potential gap between the electrodes of the capacitor (V). What is unique about this measurement system is that it can measure electrostatic charge irrespective of whether the sample object is a conductor or a nonconductor or it is in a gaseous, liquid, or solid state, or how it contacts with the inner surface of Faraday Cage.
C. ELECTROSTATIC CHARGE MEASUREMENT AND
ITS
APPLICATIONS
1. Measurement of Electrostatic Charge on PFA Surface (Insulator Surface) Electrostatic charge generated by triboelectrification on an insulator surface (PFA surface) was measured. A PFA disk (125 mm in diameter and 2 mm thick) was rubbed with a cotton cloth, and inserted into the Faraday Cage. Figure 8.19 shows electrostatic charge as a function of time. Electrostatic charge was also measured when the two disks were placed together. In this experiement, initial electrostatic charge for two disks is about twice that with one disk, which suggest that the electrostatic charge should increase with increase of resin surface area.
Q = Cm⋅V Conductor Cu +Q
Q Sample
+ +
+
++ +
Cu −Q
Cm
V
+Q cm −Q
Insulator
V
Faraday cage
FIGURE 8.18 Static charge measurement principle using a Faraday Cage. Sample phase (gas, liquid, or solid) does not affect charge measurement.
Antistatic Technology
309
Electrostatic charge [µC]
−100 Two Disks
Disk size : 125φ × 2t
One Disk
−10−1
−10−2
0
50
100
150
Elapsed time [Hr]
FIGURE 8.19 Surface static charge of PFA disc. The amount of charge on the disc reduces gradually, following the attenuation characteristic.
Although electrostatic charge declines along time, the declining rate is extremely low and this decline behavior exactly corresponds to voltage attenuation characteristic of the system. Electrostatic charge (Q) per unit surface area in the experimental system can be expressed as: Q ¼ 5:7 mC=m2 In general, when the electric field strength of air is assumed to be 3000 kV/m, a maximum value (sMAX) of surface charge density (s) of a charged-up object isolated in air can be expressed as: QMAX ¼ 27 mC=m2 In this experiment, surface charge density induced by triboelectrification turned out to be lower than the maximum surface charge density. It has been experimentally proven, however, that Teflon PFA is considerably charged up merely by a simple friction treatment. It is also obvious that once the surface is charged up, electrostatic charge attenuates extremely mildly. 2. Measurement of Electrostatic Charge on Parallel-Plate Capacitor (Conductor Surface) In general, the capacitance of parallel-plate capacitor is obtained by calculating the time constant and measuring the impedance with RF. In this study, however, the author has tried to directly measure the electrostatic charge on a capacitor by making use of IPA’s outstanding destaticization capability. Figure 8.20 shows the experimental system. First, a constant voltage was applied to the capacitor. Then, switches were kept open to get the electrostatic charge accumulated in the capacitor. The accumulated charge was transported to the Faraday Cage with IPA from which electrostatic charge had been eliminated in advance. The total charge-up level was checked against a value which was theoretically obtained by calculating the geometric form of the capacitor and applied voltage. The parallel-plate capacitor was prepared by aligning the two aluminum plates of 15 cm 20 cm in parallel with a gap of 1.8 mm. Figure 8.21 shows the experimental results. The horizontal axis shows accumulated amount of dropped IPA while the vertical axis shows the mobility of electrostatic charge indicated against its
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
310
N2
Vent
SW3 Sample(IPA)
Capacitor
SW2 D.C Supply
SW1
Insulator
V
Chamber
FIGURE 8.20 Schematic diagram of constant charging system. The charging system is basically composed of two aluminum electrodes, thus making a parallel-plate capacitor.
theoretical value of 100. (A mobility of 100% means that there is perfect agreement with the theoretical value.) Applied voltage was varied to 40, 30, 20 and 10 V. When applied voltage was reduced to 10 V, the experimental value agreed with the theoretical value, which made it possible to directly measure the total electrostatic charge. This also suggests that the electrostatic charge on the capacitor should leak to outside of the system when the applied voltage exceeds 10 V. Insulating materials used in this experiment were Teflon, polyethylene, and polypropylene. 3. Measurement of Dielectric Relaxation of Polymer Materials
Percent charge transfer [%]
Using the PFA disk as an example, the author studied how the generated electrostatic charge got attenuated. An RC series circuit reproduces a phenomenon that the electrostatic charge gets
100 90 80 70 60 50 40 30 20 10 0
0
10
20
30
capacitance = 91.5 [pF]
10V
40 50 60 70 The amount of IPA [g] 20V
30V
80
90
100
40V
FIGURE 8.21 Measurement of static charge in parallel-plate capacitor. Measurement charge is comprised of the calculated value using the capacitor dimensions and applied voltage.
Antistatic Technology
311
diffused from a charged-up object to have the potential attenuated. Electrostatic charge attenuation can be expressed as a function of time (t). There is an important relationship between RC and voltage attenuation time. RC is generally referred to as time constant (t). In the case of a single material, time constant (t) is a product of its dielectric constant 1 (F/m) and volume resistivity rv (Vm).
t ¼ 1r t is defined as the time required for electrostatic charge (accumulated in capacitor or terminal voltage of capacitor) to decrease to the initial value of e 21 ¼ 0.37. As shown in the equation, t is determined solely by material properties, i.e., it is independent of appearance or dimensions. Taking Teflon PFA as an example, t is calculated. When the following Teflon PFA properties. 1 ¼ 2:1 8:854 1012 F=m
rv ¼ 1016 (Vm) are substituted in the above equation (t ¼ 1r), the equation will be obtained as:
t ¼ 1:86 105 sec ¼ 52 hr t of PFA is extremely large, which indicates that charge relaxation takes place extremely mildly. 4. Evaluation of Destaticization Capability of IPA a. Measurement of Destaticization Capability of IPA Vapor It is experimentally proven that IPA dry process following wafer cleaning not only effectively dries wafer but also thoroughly eliminates electrostatic charge on wafer surface. In the present study, destaticization capability of IPA vapor was measured. The experiment followed the steps described below, successively. A charged-up IPA of about 10 cm3 was dropped into the Faraday Cage. The IPA was evaporated inside the Faraday Cage by IR irradiation. In the meantime, the change in electrostatic charge was monitored. If electrostatic charge decreases along with IPA vapor generation, IPA vapor is proven to be capable of eliminating electrostatic charge; when it remains unchanged, it is proven unable to eliminate electrostatic charge. Figure 8.22 shows the experimental results. The experiment was repeated three times with charge-up level of IPA and IR lamp position varied. Horizontal axis shows duration of IR irradiation while vertical axis shows the potential gap between two electrodes of capacitor. Values on the vertical axis correspond to electrostatic charge. Three solid lines terminate after 10 min, which indicates that IPA gets completely evaporated. Figure 8.22 clearly shows that the initial electrostatic charge is maintained almost perfectly during IPA evaporation process. This experiment proves that IPA vapor is not capable of eliminating electrostatic charge. b. Destaticization Capability of Liquid-Phase IPA The author next studied destaticization capability of liquid-phase IPA. In order to study electrification of liquid, it is important to establish a technology to charge up the liquid at a specified level. In general, it is considered very difficult to charge up liquid at a specified level. Traditionally in order to charge up the liquid, an object, which is prone to triboelectrification, was rubbed with hide and made to contact the liquid. With this method, the control and reproducibility of charge-up level and polarity of electrostatic charge is difficult. To overcome this problem, the author developed a new system to charge up the liquid at a specified level.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
312 300 Electric potential [mV]
exp1 280
exp2 exp3
260 240 220 200
0
2
4
6
solution: IPA(10 ml) capacitance = 0.367 [micro F]
8
10
12
14
16
18
20
Time [min]
FIGURE 8.22 Static charge removal capacity of IPA vapor. After vaporization of IPA liquid, initial charge does not decrease; thus IPA vapor has no capability to remove static charge.
Figure 8.20 outlines the system. A parallel-plate capacitor is prepared by aligning the two aluminum plates of 15 cm 20 cm in parallel with a gap of 1.8 mm. The capacitor is mounted on an insulating material, and a DC voltage is applied across the electrodes. A specified amount of electrostatic charge can be transferred to IPA as it is dropped onto surface of the lower electrode. Electrostatic charge is adjusted by changing the applied voltage. Polarity of electrostatic charge is thus easily controlled by changing the polarity of the DC voltage. It is revealed that, as long as the applied voltage is kept unchanged, the absolute charge-up level remains the same even if the DC voltage polarity is reversed. In other words, it is quantitatively proven that liquid-phase IPA equally eliminates positive and negative electrostatic charges. c. Voltage of Charged-Up Object and IPA Destaticization Capability Another experiment was performed to study the relationship between the charge-up voltage of the charged-up object and destaticization capability of IPA. It is commonly considered that destaticization capability of IPA of a certain value gets higher as charge-up voltage is raised. An experiment was performed to demonstrate this speculation. In the system shown in Figure 8.20, applied voltage was varied from 0 to 3000 V, and destaticization capability of IPA of 1 g was calculated. Figure 8.23 shows the experimental results. In the range of 0 –3000 V, voltage applied to the capacitor is found proportional to electrostatic charge of IPA. This demonstrates that the electrostatic charge eliminated by unit mass of IPA will increase as an object is charged-up more. d. Destaticization Capability of IPA Aqueous Solution Using the system shown in Figure 8.20, destaticization capability of IPA aqueous solution (including UPW) was measured. To measure water concentration in IPA, the Karl Fischer technique was used in the lowconcentration region (0.1% or less) and gas chromatography was used in the rest of the concentration region. The experiment including water concentration measurement was performed under highpurity N2 ambience. Figure 8.24 shows the destaticization characteristics of IPA aqueous solution. Although the destaticization capability is maintained in the wide range of water concentration, it drops sharply as water concentration exceeds 80%.
Removed Charge [micro C/g] × 10−3
Antistatic Technology
313
20
15
10
5
0
0
1000
2000
3000
Voltage [V]
FIGURE 8.23 Voltage of charged substance and static charge removal capability of IPA liquid (in N2 gas). The applied voltage across the capacitor is in directly proportional to the amount of charge removed per gram of IPA.
e. Destaticization Mechanism of IPA Vapor Dry Process On the basis of the experimental results described earlier, it is speculated that IPA eliminates electrostatic charge from charged-up object (including polymer material) in the following way:
Electrostatic charge [micro C/g–IPA] × 10−2
1. Liquid-phase IPA comes in to contact with a charged-up object. (IPA vapor gets condensed on the surface of the object to turn in to the liquid-phase IPA.) 2. Electrostatic charge in proportional amount to the electric potential of the object and the amount of the condensed IPA (liquid-phase IPA) moves instantaneously to the liquidphase IPA. 3. Electrostatic charge in liquid-phase IPA is eliminated from the charged-up object immediately when liquid-phase IPA breaks away from the object surface because of external forces such as gravity. 2.0 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0
0
10
20
30
40
50
60
70
80
90
100
Concentration of water in IPA [%]
FIGURE 8.24 Static charge removal in IPA-H2O binary system. From 0 to 80% H2O concentration, IPA solutions have excellent static charge removal characteristics.
Scientific Wet Process Technology for Innovative LSI/PFD Manufacturing
314
4. Potential of the charged-up object drops as much as the decrease in electrostatic charge. 5. Electrostatic charge of the object, regardless of polarity, gets closer to zero as the abovementioned cycle is repeated.
D. SUMMARY 1. It becomes possible to directly measure surface electrostatic charge of a charged-up object by using Faraday Cage. 2. Electrostatic charge of charged-up parallel-plate capacitor is directly measured by using IPA. It is proven that the measured values agree with relevant theoretical values. 3. Dielectric relaxation of polymer resin surface is measured. The measured values are in relatively good agreement with the relevant theoretical values. 4. By using a new system to charge-up a liquid at a specified level, it becomes possible to quantitatively measure destaticization capability of the liquid. 5. Destaticization mechanism of IPA vapor dry process has been revealed.
REFERENCES 1. H. Inaba and T. Ohmi, Influence of static charge, Technology of high performance process in LSI manufacturing, Ultra Clean Society, pp. 197 – 217, 1990 (in Japanese). 2. H. Inaba, T. Yoshida, T. Ohmi, M. Morita, and M. Nakamura, Neutralization of water charging in nitrogen gas, in: 14th Ultra Clean Technology Work Shop Proceeding, Ultra Clean Society, pp. 37 – 52, 1991 (in Japanese). 3. H. Mishima, T. Yasui, M. Abe, and T. Ohmi, Particle free wafer cleaning and drying technology, IEEE Trans. Semicond. Manuf., 2(3), 69– 75, 1989.
9
Chemical Waste Reclamation Technology Hiroshi Sugawara ORGANO Corporation, Tokyo, Japan
Takashi Imaoka ORGANO Corporation, Tokyo, Japan
CONTENTS I. Reclamation Technology for Developer (TMAH) Waste . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Developer Reclamation System and Equipment . . . . . . . . . . . . . . . . . . . . . . . . C. Experimental and Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Commercial Application and Future Challenges . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Reclamation Technology for HF Waste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. HF Waste Treatment using Calcite Method . . . . . . . . . . . . . . . . . . . . . . . . . . . C. HF Waste Treatment using Crystallization Method . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
315 315 316 318 321 321 321 321 322 326 330
I. RECLAMATION TECHNOLOGY FOR DEVELOPER (TMAH) WASTE A. INTRODUCTION High-purity metal-free tetramethylammonium hydroxide (TMAH) aqueous solution is widely used as a developer in manufacturing lines of semiconductor device and liquid crystal display (LCD). TMAH is often chosen as a preferable option because it helps prevent metal contamination, which is one of the major causes of yield deterioration. TMAH is produced by electrolyzing tetramethylammonium (TMA) salt through cation exchange membrane (CEM) [1,2]. Its purity has been constantly improved along with advances in geometry, density, and functionality of semiconductor device. As Si wafer for semiconductor device and mother glass for LCD increase in size and the developer is expected to feature higher purity, costs relating to the developer are increasing. Costs to treat TMAH waste are also high as TMAH is an organic alkali that requires a specific treatment. Conventionally, TMAH waste is concentrated through evaporation or by means of reverse osmosis membrane or it is biologically treated. A drawback of the former technique is that the concentrated TMAH waste needs to be further treated or disposed in one way or another. Biological treatment is, therefore, considered relatively preferable when TMAH waste is not subject to reclamation [3,4]. As the industry is increasingly required to drive 3R (reduce, reuse, and recycle), it becomes more and more critical to proactively develop a series of technologies to realize a closed-loop system for resources as well as to reduce amount of wastes generated. To address these needs, a technology has been developed to recover usable TMAH from developer waste so as to reuse it 315
316
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
as a developer. The new technology ensures high TMAH recovery rate and enables us to considerably reduce environmental load [5 – 8]. It also features financial advantages.
B. DEVELOPER RECLAMATION SYSTEM
AND
EQUIPMENT
Figure 9.1 outlines a developer reclamation system. It is primarily composed of three units: recovery unit, purification unit, and mixing unit. Concentration unit is not an essential component but it is installed to raise through out of the recovery unit and TMAH recovery rate. Electrodialysis technology is a core of the recovery unit. The developer waste released from the development process (a usepoint of developer) is fed to this unit, which separates TMAH and photoresist from the developer waste and concentrates TMAH to recover. TMAH recovery principle by electrodialysis will be described later. The recovered concentrate is introduced to a purification unit composed of ion exchange resins and a microfilter as it contains the photoresist residue, metal ions including Naþ ion, and other impurities such as particles in small amount. Highly purified TMAH coming out from the purification unit is mixed with fresh TMAH and ultrapure water (UPW) in a subsequent mixing unit. After its concentration is adjusted (for instance to 2.38 wt%), the TMAH solution is fed to the development process to be reused as a developer. Figure 9.2 shows a process flow example of the recovery unit. A certain amount of UPW for one cycle of batch treatment is fed to the recovery solution tank. It is circulated between this tank and the electrodialysis stack during recovery process. Developer waste coming out of the development process is stored in the developer waste tank, and a certain amount of developer waste for one cycle of batch treatment is fed to the desalted solution tank. Developer waste is circulated between this tank and electrodialysis stack during recovery process. A DC voltage is applied to the electrodialysis stack during recovery process while both desalted solution (developer waste) and recovery solution (UPW) are flowing through the bath. In this process, TMAH in desalted solution is selectively moved to the recovery solution. Accordingly, TMAH concentration in desalted solution gets lower while that in recovery solution goes up. When TMAH concentration is lowered to a predetermined level, the treatment for TMAH recovery is completed. Then, the desalted solution is released out from the system for further treatment. It is not difficult to biologically treat the desalted developer waste as its TMAH concentration is sufficiently low. Recovery solution, when its TMAH
Fresh Conc. TMAH
Desalted Waste
UPW
Mixing Unit
Purification Unit
Recovery Unit
Concentration Unit
Developer Tank
Development Process
Photoresist
FIGURE 9.1 Outline of developer reclamation system.
UPW for Rinse
Developer Waste Tank
Chemical Waste Reclamation Technology
317
Development Process
Recovery Solution (UPW)
P
+
− P
Developer Waste Tank
TMAH Concentrate Tank
Electrodialysis
P Desalted Solution Tank
P
P Recovery Solution Tank
Waste Treatment (Bio-Reactor)
Purification Unit
FIGURE 9.2 Process flow example of recovery unit.
concentration goes up to a certain level, changes its state to “recovered TMAH concentrate.” The recovered TMAH concentrate is stored in the concentrate tank for a while before being sent to a subsequent purification unit. Figure 9.3 shows the recovery principle of TMAH by electrodialysis, a core technology used in the recovery unit. An electrodialysis stack is composed of anion exchange membranes (AEMs) and CEMs, which are alternately aligned with each other. On both sides of the stack, electrodes are mounted. Desalted solution (developer waste) is fed to desalting cells, which are composed of
FIGURE 9.3 Recovery principle of TMAH by electrodialysis.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
318
AEMs on the anode side and CEMs on the cathode side. At the same time, recovery solution such as UPW is fed to concentration cells which are composed of AEMs on the cathode side and CEMs on the anode side. When the DC voltage is applied across the electrodes, TMAH in desalted solution passes through ion exchange membranes and moves from the desalting cell to the concentration cell, which raises TMAH concentration in recovery solution. This phenomenon takes place because TMAH in developer waste is dissociated into TMAþ cation and OH2 anion and also because ion exchange membranes feature ion selectivity. Meanwhile the photoresist, a major impurity in developer waste, can hardly pass through ion exchange membranes and remains in desalting cells as it is only slightly charged and has high molecular weight. As a result, the photoresist scarcely moves to recovery solution, which makes it possible to selectively recover and concentrate TMAH in concentration cells. As mentioned earlier, the purification unit is composed of ion exchange resins and microfilter. The recovered concentrate is effectively purified as the ion exchange resin removes photoresist residues and metal ions such as Naþ ion and the microfilter removes particles. Adsorbed photoresist can be removed by the anion exchange resin as it has anionic functional group in the molecule. TMAtype cation exchange resin and OH-type anion exchange resin must be employed. The ion exchange resins must be sufficiently cleaned prior to use. The mixing unit precisely adjusts TMAH concentration in the purified solution to an optimal level as a developer. After the concentration adjustment, the solution is fed to development process to be reused as a developer. If a mixing unit for concentration adjustment is a part of the existing line, the existing unit can be used as it is. The concentration unit is sometimes installed at the upstream of the recovery unit. It raises TMAH concentration in developer waste by means of reverse osmosis membrane or through evaporation before the developer waste is sent to the recovery unit. Efficiency of electrodialysis in the recovery unit is improved when it treats the developer waste with higher TMAH concentration. In other words, TMAH recovery rate gets higher as TMAH concentration in developer waste is higher. This is because electric resistance of electrodialysis stack gets lower to improve process efficiency as TMAH concentration in developer waste gets higher. Another advantage of the concentration unit is to make the recovery unit compact in size as it reduces volume of developer waste to be treated. It should be noted once again that the concentration unit is not essential in the reclamation system.
C. EXPERIMENTAL
AND
RESULTS
Developer waste (TMAH ¼ 1.0 wt%, photoresist ¼ 140 mg C/l) released from an LCD manufacturing line was treated in the reclamation system described in Section I.B. In this experiment, treatment in concentration unit was skipped. For treatment in electrodialysis, a batch of desalting process was stopped when TMAH concentration in the desalted solution reached to ca. 0.1 wt%, and a batch of concentration process was stopped when TMAH concentration in recovery solution got to ca. 2.4 wt%. Recovered concentrate coming out from the recovery unit was purified in a mixed-bed ion exchange resin column composed of TMA-type cation exchange resin and OHtype anion exchange resin. Microfilter with pore size of 0.2 mm was used in the purification unit. Table 9.1 shows analytical results of the developer waste and the reclaimed developer together with standards of a fresh developer. TMAH concentration in the developer waste is low. Photoresist and other impurities are detected in the developer waste. However, the quality of the reclaimed developer, is found to be equal to that of a fresh developer. These analytical results suggest that the reclaimed developer can be reused as a photoresist developer. Prior to reuse, the reclaimed solution must be treated in the mixing unit to precisely adjust its TMAH concentration. The reclaimed developer and commercially available fresh developer were compared with each other in terms of photoresist development characteristics. Test samples were prepared by using Cz (1 0 0) p-type Si wafer with resistivity of 8 –12 V cm. The Si wafer was baked to be dried at 1358C for 90 sec before being treated with hexamethyl disilazane (HMDS). Then it was coated with AR-3,
Chemical Waste Reclamation Technology
319
TABLE 9.1 Analytical Results of Developer Waste and Reclaimed Developer Item TMA-OH (wt%) TMA-CO3 (wt%) Resist (mg-C/l) Na (mg-Na/l) K (mg-K/l) Ca (mg-Ca/l) Mg (mg-Mg/l) Fe (mg-Fe/l) Cu (mg-Cu/l) Mn (mg-Mn/l) Ag (mg-Ag/l) Cl (mg-Cl/l)
Standard
Waste
Reclaimed
2.38 ,0.03 — ,5 ,3 ,1 ,1 ,5 ,3 ,3 ,3 ,0.2
1.02 0.11 140 6 4 3 ,1 8 ,1 ,1 ,1 —
2.34 0.02 ,0.1 ,1 ,1 ,1 ,1 3 ,1 ,1 ,1 ,0.1
anti-reflective coating made by Shipley Company, with a thickness of 60 nm. After that, the wafer was baked at 1958C for 60 sec, and coated with UV6, chemically amplified photoresist made by Shipley Company, with a thickness of 410 nm. Following a 90-sec prebaking at 1358C, the exposure process was performed by using KrF excimer laser stepper (FPA3000-EX5 Canon Inc.). Energy was controlled at 30 –500 J/m2 during the exposure process. Then, postexposure baking was performed at 1358C for 90 sec, followed by 60 sec development at 238C. In this development process, reclaimed developer with its TMAH concentration was adjusted to 2.38 wt% and fresh developer with the same TMAH concentration was used for comparison. Finally, the sample wafers were rinsed with UPW for 60 sec. Developer characteristics were analyzed by investigating photoresist-dissolution rate to exposure energy and line-and-space (L&S) pattern profile. Photoresist thickness was measured with a Nanospec/AFTM3000 (Nanometrics Japan, Inc.) equipment. Pattern profile was investigated with a JSM-6000F, scanning electron microscope (SEM) made by JEOL Ltd. Figure 9.4 shows dissolution rate of chemically amplified photoresist as a function of exposure energy. No difference is found between reclaimed developer and fresh developer in terms of 1000
Dissolution Rate (nm/s)
Reclaimed Fresh
100
10
1
0 0
10 100 Exposure Energy (J/m2)
1000
FIGURE 9.4 Photoresist dissolution characteristics of two developer with 2.38 wt% TMAH at 238C.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
320 (a)
(b)
0.18 µm
0.18 µm
FIGURE 9.5 SEM micrographs of 0.18 mm L&S resist patterns developed by (a) reclaimed and (b) fresh developer, with 2.38 wt% TMAH at 238C. Exposure energy is 270 J/m2.
photoresist dissolution rate. Figure 9.5 shows the SEM images of the L&S pattern profile of photoresist. After exposure through a mask with 0.18 mm pattern at an exposure energy of 270 J/m2, the two developers were applied to sample wafers. There is no difference in the pattern profile of two developers. These experiments demonstrate no difference in development characteristics between reclaimed developer and fresh developer. This suggests that reclaimed developer can be reused as a photoresist developer. Annual operating costs are compared between the new reclamation system and the conventional system. Table 9.2 shows the result. In this analysis, it is assumed that the daily consumption of developer with TMAH concentration of 2.4 wt% is 1.0 m3 and that the manufacturing line is operated for 350 days/yr. Also, the following conditions are adopted for cost estimation. 1. Cost of fresh developer with TMAH concentration of 2.4 wt%: 80 ¥/l. 2. Cost to dispose industrial waste: 30,000 ¥/m3. 3. Desalted developer waste released from the new reclamation system is biologically treated as its TMAH concentration is sufficiently low. Chemical waste released from the conventional system is concentrated through evaporation under reduced pressure and disposed as an industrial waste. According to the estimation, the new reclamation system can save operating costs by 78.3%, compared with the conventional system, when TMAH recovery rate is 90%. The new reclamation system is expected to have financial advantages as well as to reduce environmental impacts due to high TMAH recovery rate.
TABLE 9.2 Comparison of Annual Operating Costs between Reclamation and Conventional System Item Fresh developer Consumables Waste treatment (method) Total Saving Total reduction %
Conventional
Reclamation
¥28,000,000 — ¥2,700,000 (evaporation þ disposition) ¥30,700,000 — —
¥2,800,000 ¥3,500,000 ¥350,000 (biological) ¥6,650,000 ¥24,050,000 78.3%
Chemical Waste Reclamation Technology
321
D. COMMERCIAL APPLICATION AND FUTURE CHALLENGES This new technology has been adopted by multiple plants including LCD manufacturing plants. In one of these cases, the new reclamation system recovers 99% of TMAH from a developer waste with a TMAH concentration of about 1 wt%. The reclaimed developer is reused on site with a TMAH concentration of 2.38 wt%. Our future challenges include how to achieve inexpensive and stable operation while maintaining high recovery rate, and how to raise recovery rate close to 100%. If recovery rate of 100% is realized, it will be possible to virtually eliminate new supply of fresh developer. Then, this technology will be referred to not as developer reclamation system but as “on-site developer supply system”. If this reclamation system is operated inexpensively and stably while maintaining high recovery rate, it will become possible to drastically reduce energy consumption and costs to purchase and transport the developer. It will also be possible to considerably reduce time-consuming and difficult work such as replacement of TMAH canister tank. Ultimately the system will significantly reduce maintenance activities.
REFERENCES 1. Japan Patent 85100690A. 2. Japan Patent 85263148A. 3. T. Kuno, High efficiency microorganism treatment technology for waste alkaline (waste developing solution), in: Proceedings of the 5th International Symposium on Semiconductor Manufacturing, Tokyo, pp. 131– 134, 1996. 4. Y. Uchitomi Y. Yagi, and F. Hayashi, Characteristics of bioreactor of TMAH-containing chemical waste, in: Proceedings of 27th Symposium on ULSI Ultra Clean Technology, Tokyo, pp. 150 – 156, 1996. 5. H. Sugawara, and H. Hemmi, Application of TMAH Reclamation in LSI/LCD Manufacturing, in: Conference Abstracts, 1999 Semiconductor Pure Water and Chemicals Conference, Santa Clara, pp. 295– 308, 1999. 6. H. Sugawara, Y. Tajima, and T. Ohmi, A study on reclaimed photoresist developer using an electrodialysis method, in: Extended Abstracts of the 2001 International Conference on Solid State Devices and Materials, Tokyo, pp. 18– 19, 2001. 7. H. Sugawara, Y. Tajima, and T. Ohmi, Photoresist developer reclamation technology and system, in: 2001 IEEE International Symposium on Semiconductor Manufacturing, Santa Clara, pp. 481–484, 2001. 8. H. Sugawara, Y. Tajima, and T. Ohmi, A study on reclaimed photoresist developer using an electrodialysis method, Jpn J. Appl. Phys., 41, 2374– 2379, 2002.
II. RECLAMATION TECHNOLOGY FOR HF WASTE A. INTRODUCTION Fluorine-bearing chemicals such as HF and buffered HF (BHF) with varied composition are essential for wet process of semiconductor device manufacturing line. They are used for Si wafer cleaning and pattern etching. All fluorine compounds including fluorine-bearing chemicals used in semiconductor device manufacturing process are made from CaF2, natural fluorite. Fluorine compound production starts from hydrogen fluoride that is generated in reaction between CaF2 and sulfuric acid shown in the following reaction formula: CaF2 þ H2 SO4 O 2HF þ CaSO4
(9:1)
According to “Fluorspar Mineral Yearbook” published by U.S. Bureau of Mines in 1988 [1], worldwide reserves of fluorite are estimated to be about 300 million tons, of which 220 million tons of fluorite are economically extractable. A report estimates that life of fluorite mines would end around 2030, giving consideration to current worldwide fluorite consumption. We need to be aware of the fact that fluorite resources will be depleted sooner than expected.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
322
Ca(OH)2
HF Waste
pH adjusting agent
Neutralization Tank
Coagulant
Coagulation Tank
Treated Water
Sedimentation Tank
Sludge
FIGURE 9.6 Flow of coagulating sedimentation treatment.
Conventionally, HF waste has been generally treated with the coagulating sedimentation technique [2,3]. Figure 9.6 shows a flow of the coagulating sedimentation treatment. HF waste is sent to a neutralization tank in which calcium solution is injected and pH is adjusted. To prepare calcium solution, hydrated lime (calcium hydroxide Ca(OH)2) is typically used as a calcium source. It is also possible, however, to use CaCl2 or CaCO3 instead of Ca(OH)2. In the neutralization tank, HF in HF waste reacts with Ca(OH)2 and hardly soluble CaF2 gets precipitated. 2HF þ Ca(OH)2 O CaF2 # þ2H2 O
(9:2)
As the generated CaF2 is in a state of fine powder and suspended in the neutralized solution, coagulant needs to be spiked in a subsequent coagulation tank to get CaF2 coagulated. As a coagulant, polyaluminum chloride (PAC) or aluminum sulfate (Al2(SO4)3) is often used among others. In many cases, polymeric organic coagulant is also injected as a coagulant aid in order to effectively achieve solid–liquid separation. In the sedimentation tank, coagulated CaF2 gets settled, and the supernatant from which fluorine components are removed is generated. Fluorine components are in a form of CaF2 that is contained in the coagulated sediment at the bottom of the sedimentation tank. The coagulated sediment has little value as a resource as it contains impurities such as calcium hydroxide and coagulant which are excessively injected and remain unreacted. Currently it is, therefore, discarded as a sludge. Despite an effort to recycle the CaF2-bearing sludge, its application is very much limited, for instance, as a raw material for cement. Other drawbacks of the coagulating sedimentation treatment include large footprint and huge consumption of additives such as pH-adjusting agent and coagulant. As described earlier, a major objective of the coagulating sedimentation treatment is detoxification of HF waste. In other words, it is not designed for resource reclamation. It is strongly required, therefore, to develop a new technology for HF reclamation in order to address fluorite depletion and environmental conservation.
B. HF WASTE TREATMENT USING CALCITE METHOD Calcite method, an HF waste treatment technique designed for fluorine reclamation, has been developed [4,5] and adopted by the industry. The calcite method uses granule of limestone (calcium carbonate, calcite CaCO3). Natural limestone is abundent in Japan. Using reaction (9.3), the calcite method fixes fluorine in HF waste in a form of high-purity CaF2 granule, and reuses it as a fluorine resource. 2HF þ CaCO3 (granule) O CaF2 (granule) þ 2H2 O þ CO2
(9:3)
The biggest advantage of the calcite method is that it can generate high-purity CaF2 with value as a resource. The generated CaF2 can be reused as a raw material for HF (fluorine) production. Another advantage is that fluorine ion concentration in treated water is equal to that achieved by
Chemical Waste Reclamation Technology
323
the coagulating sedimentation technique. Also the calcite method requires smaller footprint and less chemical consumption than the coagulating sedimentation technique [2]. Figure 9.7 shows a flowchart of the calcite method. HF waste is stored in a dedicated tank for a while before being sent to the reaction column filled with CaCO3 granule. In the reaction column, CaF2 granule is generated in accordance with reaction formula (9.3). In order to stably remove fluorine and generate high-purity CaF2 from HF waste, multiple reaction columns are aligned in a series and they are operated in a manner of merry-go-round. CaF2 granule taken out from a column in which chemical reaction is completed features high purity. It can be sent to HF production plant and used as a substitute for natural fluorite [6]. Fluorine ion concentration in water treated with the calcite method can be as low as about 5 mg/l. As the water treated with the calcite method contains calcium ions of more than 100 mg/l, it cannot however be reused as source water for UPW production. The treated water should be discharged. Figure 9.8 shows a flowchart of circulating aeration-type calcite treatment system. This system is developed by improving the conventional calcite method. Treated water of the new system can be reused as the source water for UPW production as this system can reduce calcium ion concentration in its treated water down to 100 mg/l or less. This new system realizes not only fluorine reclamation but also reuse of treated water. In the circulating aeration-type calcite treatment system, HF waste is not directly fed to reaction column. It is stored in the first circulation tank before being pumped to the first reaction column. HF waste is circulated between the first circulation tank and the first reaction column while being treated. In the meantime, a part of water in the first circulation tank overflows and moves to the second circulation tank. Then, similarly it is circulated between the second circulation tank and the second reaction column while being treated. In each circulation tank, HF waste or treaded water is aerated. In order to generate high-purity CaF2 and high-quality treated water in a stable manner, the circulation treatment is repetitively performed at multiple stages. As described earlier, treated water of the calcite method contains high concentration of calcium ion. It is speculated that this is attributed to the following causes:
1. At the upstream of reaction column, HF is removed from HF waste as a result of chemical reaction between HF and CaCO3, which generates CaF2 and reaction byproducts such as H2O and CO2.
FIGURE 9.7 Flowchart of calcite method.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
324
1st Reaction Column
2nd Reaction Column
3rd Reaction Column
CaCO3 H2O HF Waste Tank
CO2 P CaF2
HF 1st Circ. Tank
2nd Circ. Tank P
P
3rd Circ. Tank P
Treated Water Tank
HF
Air
Operation Manner: Series Merry-go-round
FIGURE 9.8 Flow of circulating aeration-type calcite treatment system.
2. CO2, one of the reaction byproducts, reacts with CaCO3, which remains unreacted with HF at the downstream of reaction column, to generate calcium hydrogencarbonate (Ca(HCO3)2). 3. Ca(HCO3)2 gets dissolved into the treated water as it has high water solubility, which raises Ca2þ ion concentration. In the newly designed circulating aeration-type calcite treatment system, CO2 generated in the reaction column is removed as a result of aeration in circulation tank, which keeps CO2 concentration in reaction column sufficiently low. As a result, Ca2þ ion concentration is kept low. In our experiment, a three-stage treatment system shown in Figure 9.8 was used. Each reaction column with an inner diameter of 20 mm was filled with 100 ml of calcium carbonate granule with diameter of 0.3 mm. The cylindrical circulation tank had an inner diameter of 40 mm. The liquid level in circulation tank was set at 250 mm from its bottom. To this system, mock-up HF waste with HF concentration of 0.1 wt% was fed at flow rate of 10 ml/min. The pump each of the circulation tanks sent HF waste to the corresponding reaction column at a velocity of 33 ml/min. When CaCO3 in the first reaction column was totally converted to CaF2, the generated CaF2 was taken out, being replaced by fresh CaCO3 granules. Then, the treatment sequence was changed so that the partially treated HF waste would move from the second reaction column to the third and the first reaction column. This sequence change is referred to as the “merry-go-round” method. The extent of aeration was set at 0.1 –1 Nl/min in each circulation tank. Figure 9.9 shows the analytical results of treated water. Ca2þ ion concentration in treated water goes down as the extent of aeration is increased. This is considered because drop in carbonate level due to aeration decelerates dissolution of calcium carbonate. In contrast, fluoride ion concentration in treated water tends to increase as the amount of aeration is increased. This increase of fluoride ion concentration is negligible though. The new circulating aeration-type calcite treatment system is found to generate high-quality treated water with Ca2þ ion and fluoride ion effectively reduced enough to be reused. Continuous operation of the system was tested as the extent of aeration in each circulation chamber was set at 1 Nl/min. Table 9.3. shows the analytical results of CaF2 taken out from the reaction columns. For samples reaction columns No. 1 to No. 3 were initially filled with calcium
Chemical Waste Reclamation Technology
325
FIGURE 9.9 Analytical results of water treated in circulating aeration-type calcite system. (a) Ca2þ concentration dependence on aeration rate. (b) F2 concentration dependence on aeration rate.
carbonate of 100 ml. Each sample was taken when the filling was replaced for the first, second, and third times. For sample No. 4, reaction columns were initially filled with calcium carbonate of 300 ml. For samples No. 1 to No. 3 (calcium carbonate of 100 ml), CaF2 content is 90% on average. For sample No. 4 (calcium carbonate of 300 ml), however, CaF2 content is increased to 97%. This is considered partly because height of CaCO3 layer in reaction column gets higher as the amount of CaCO3 increases, and partly because CaCO3 in reaction column is effectively converted to CaF2 due to drop of space velocity (SV) of HF waste flow. In order to raise the content of generated CaF2 (purity and conversion rate), it is effective to raise the height of CaCO3 layer and lower the SV of HF waste flow. As the generated CaF2 granule is highly pure, it can be sent to HF production plant to be used as a substitute for natural fluorite.
TABLE 9.3 Analytical Results of CaF2 taken out from Reaction Columns in Circulating Aeration-Type Calcite System Sample Item
No. 1
No. 2
No. 3
No. 4
CaF2 (%) CaCO3 (%) SiO2 (%)
90.5 7.6 0.1
86.1 13.6 0.1
93.7 3.7 0.1
97.0 2.8 —
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
326
FIGURE 9.10 Conceptual diagram of CaF2 generation in different methods.
C. HF WASTE TREATMENT USING CRYSTALLIZATION METHOD Just like the conventional coagulating sedimentation method, crystallization method uses calcium aqueous agents such as hydrated lime and calcium chloride solution. To be more specific, calcium aqueous agent is injected to the HF waste to have precipitated CaF2 to be removed [7,8]. Its difference from the conventional coagulating sedimentation method is that it gets CaF2 precipitated on seed material charged in the reaction column by precisely controlling the reaction conditions so as to generate high-purity uniform CaF2 pellets with high value as a resource. Figure 9.10 shows the conceptual diagram of CaF2 pellet generation whereas Figure 9.11 shows the conceptual principle of crystallization method [4]. Depending on the ratio between fluorine concentration and amount of calcium to be spiked, state of fluoride ion and calcium ion mixture solution shifts between supersaturation and nonsaturation, which affects the state of generated CaF2 as well. The crystallization method controls reaction conditions in such a way to create a metastable domain which triggers crystal growth and accelerates crystallization on seed material. In the conventional coagulating sedimentation method, substantial amount of calcium is directly added to HF waste containing fluoride of high concentration, which prompts reaction in unstable domain. This Crystallization Conventional (Coagulation-Sedimentation) F-ion conc. in influent
CaF2 Particle Generation (white turbidity)
[F−] Unstable Domain
F-ion conc. in Reactor F-ion conc. in effluent
Supersaturation Curve Stable Domain
Metastable Domain
Solubility Curve Ca-ion conc. in influent
Ca-ion conc. in effluent
FIGURE 9.11 Principle of crystallization method.
[Ca2+]
Chemical Waste Reclamation Technology
327
Effluent
Pellet Level
Circulation Seeding
Seed Material
Feed Ca Reagent (a) Initial
Circulation
(b) Pellet Growth
Pellet Discharge (c) Pellet Discharge
FIGURE 9.12 Schematic diagram of crystallization column.
reaction generates CaF2 in the form of a fine powder. It is necessary, therefore, to inject a coagulant to generate flocks of CaF2 and then treat the CaF2 sludge so as to achieve solid – liquid separation. Figure 9.12 shows schematic diagram of the crystallization column. It shows operations (1) –(3). 1. Fill reaction column with seed material with diameter of 0.1 –0.3 mm. Get fluoride in HF waste and calcium reacted with each other while keeping the pellets suspended in upflow to facilitate CaF2 crystallization on seed material. 2. Pellets grow in size gradually, and accordingly the level of pellet layer goes up. 3. Take out large pellets whose diameter grows to about 1 mm from the bottom of reaction column. Replenish the seed material. Repeat the cycle of (1) – (3) for continuous operation. The system used for the crystallization method features the following characteristics. No waste generation. The generated CaF2 pellet has high purity and low water content. It is regarded as a highly valuable resource to be reused. Even a company which does not intend Coagulating Sedimentation Waste Water
Coagulation
Flocculation
Sludge/Water Separation
Treated Water
Sludge Dehydration Waste sludge Crystallization Waste Water
Reactor
Reusable pellets
FIGURE 9.13 Comparison of conventional method and crystallization method.
Treated Water
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Content (%)
328
100 90 80 70 60 50 40 30 20 10 0
89.8
4.6
1.4 CaF2
CaCO3
CaSO4
0.6 SiO2
0.6 P
FIGURE 9.14 Crystallization pellets sampled at a plant.
fluoride reclamation can enjoy some advantages as the amount of waste to be discarded is reduced to one-third, compared to the conventional coagulating sedimentation method. Inexpensive equipment with small footprint. The crystallization method features high linear velocity, which makes crystallization column compact in size. No dehydration facilities are required as the generated pellet has low water content. Relevant utilities excluding wastewater treatment system can be simplified. As a whole, the footprint of the entire system is just a half of that of the coagulating sedimentation system. This crystallization method can replace several process steps required in the conventional coagulating sedimentation method (Figure 9.13). Quality of treated water. Water treated with the crystallization method processes the same quality as water treated with the conventional coagulating sedimentation method. Pellet handling is easy. Pellet handling is easy because of low water content. There is no need to install a dehydrator which requires frequent cleaning. CaF2 pellet purity and water content. With the conventional method, sludge contains not only inorganic coagulant but also phosphate ion, silica, and metal hydroxides (Fe, Al, etc.) derived from HF waste. These impurities adversely affect the purity of CaF2. The crystallization method, depending on the amount of other ions in HF waste and target quality of treated water, is capable of achieving high CaF2 content of 90% or more in the pellet. Figure 9.14 shows the composition of pellets sampled at a plant which employs the crystallization method. Because of sulfate ion in HF waste, CaF2 pellet purity remains around
Percentage of Si Intake into Pellet from Waste Water (%)
50 40 30 20 10 0
3
5
7
9
pH of Treated Water
FIGURE 9.15 Percentage of silica intake into pellets from waste water as a function of pH of treated water.
Chemical Waste Reclamation Technology
329
Semiconductor Manufacture
Crystallization pH
HF,BHF etc.
Reactor
P
Seed Material
CaCI2
Raw Material (CaF2) HF Manufacture
HCI H
NaOH P
pH
CaF2 Pellets
M
Reclamation
Fluoride Wastewater
Treated Water P
Raw Water Tank (pH Adjustment)
P P Treated Water Tank (Circulation Tank)
FIGURE 9.16 Flow of HF waste treatment and reclamation process.
90%, which is much higher than that achieved by the conventional method. It is possible to realize high-purity pellet with CaF2 content of 99% if coexistent ions such as sulfate ion are reduced in HF waste by proper fractionating piping systems for the chemical waste. Meanwhile etched silica contained in HF waste is hardly incorporated into the pellet.
PHOTO 9.1
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
330
Figure 9.15 shows the percentage of silica intake into pellet from wastewater as a function of pH of the treated water. By controlling the treated water to acidic pHs, it is possible to eliminate silica intake into the pellet and realize high-purity pellets. Since April 2001, HF reclamation system using the crystallization method has been operated in an electronic device manufacturing plant (Yashiro Plant of Ricoh Co., Ltd). Photo 9.1 shows appearance of the system. HF waste with a fluoride concentration of about 1000 mg/l is treated with this system to stably generate a treated water with a fluoride concentration of 10 – 15 mg/l. Figure 9.16 shows a flowchart of HF waste treatment and reclamation process. CaF2 pellets generated in crystallization system are collected in a porous container bag with capacity of about 1 m3. CaF2 pellet in the bag is left outdoor for a while to remove water. Then, the dried CaF2 pellets are sent to HF manufacturers where they are reused as raw material for HF production. A complete HF reclamation and reuse system has been established. The crystallization method is a fluoride reclamation technique which features different characteristics from the calcite method. It can generate high-purity CaF2 pellets. The crystallization method, therefore, is an effective means if a company wants to reclaim and reuse fluoride.
REFERENCES 1. 2. 3. 4.
5.
6.
7.
8.
Fluorspar Mineral Yearbook, U.S. Department of the Interior Bureau of Mines, 1988. Japan Patent 848438A. Japan Patent 94312190A. N. Miki, M. Maeno, T. Fukudome, and T. Ohmi, Closed system processing technology of fluoride chemicals for advanced semiconductor manufacturing, in: Semiconductor Pure Water and Chemicals Conference Chemical Proceeding, Santa Clara, California, pp. 24– 43, 1992. N. Miki, M. Maeno, T. Fukudome, and T. Ohmi, Advanced processing technology for spent fluoride chemicals from semiconductor manufacturing process, in: Semiconductor Pure Water and Chemicals Conference, Chemical Proceeding, San Jose, CA, pp. 147 – 170, 1994. N. Miki, and T. Ohmi, Proposal for advanced disposal system for fluorine circulation as a resource by optimized technology to process spent fluoride chemicals drained from semiconductor manufacturing processes, New Era of Semiconductor Manufacturing (II), Ultra Clean Technol., 9 (Suppl. 2), 8 –12, 1997. T. Hashimoto, H. Yamada, K. Shimizu, and M. Nishimura, Fluoride Removal from Wastewater Using Crystallization Process and Fluoride Recycling System, in: 2002 IEEE International Symposium on Semiconductor Manufacturing, Tokyo, pp. 253 – 256, 2002. K. Van den Broeck, N. Van Hoornick, J. Van Hoeymissen, and de R. Boer, Sustainable Treatment of HF containing Wastewater by Crystallization in a Fluidized Bed Reactor, in: 2002 IEEE International Symposium on Semiconductor Manufacturing, Tokyo, pp. 257 –260, 2002.
10
Advanced Ultrapure Water and Liquid Chemical Supply System and Materials for Fluctuation-Free Facility Ikunori Yokoi Kurita Water Industries Ltd., Tokyo, Japan
Masaaki Nagase Fujikin Incorporated, Osaka, Japan
Koji Nishino Fujikin Incorporated, Osaka, Japan
Nobukazu Ikeda Fujikin Incorporated, Osaka, Japan
Masafumi Kitano New Industry Creation Hatchery Center, Tohoku University, Sendai, Japan
Hiroto Izumi Stella Chemifa Corporation, Osaka, Japan
Tadahiro Ohmi New Industry Creation Hatchery Center, Tohoku University, Sendai, Japan
CONTENTS I. Ultrapure Water and Gas-Dissolved Water Supply System for Fluctuation-Free Facility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332 A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332 B. Ultrapure Water Supply Technology and Wastewater Reclamation Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333 1. Pretreatment System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334 2. Primary Pure Water System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335 3. Ultrapure Water System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336 4. Transportation Piping System for Ultrapure Water . . . . . . . . . . . . . . . . . . . 337 5. Wastewater Reclamation System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338 C. Gas-Dissolved Ultrapure Water Supply Technology . . . . . . . . . . . . . . . . . . . . . 338 1. Gas-Dissolved Ultrapure Water System . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339 2. Wafer Cleaning using pH-Controlled Ozonated Ultrapure Water . . . . . . . 339 3. Wafer Cleaning using pH-Controlled Hydrogenated Ultrapure Water . . . . 340 D. Element Technology for Ultrapure Water . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341 1. Piping Application Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341 331
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
332
2. Build-Up Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Water Vibration Preventing Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Water Vibration-Controlled Cooling Water System using Hydrogenated Water . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . II. Water Hammer-Free System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Water Hammer Prevention Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Vibration-Sensing Water Hammer-Free System . . . . . . . . . . . . . . . . . . . . . . . . D. Content Volume Change-Free Valve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . III. Al2O3 Passivation Technology on Austenitic Stainless Steel for Ozone using Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1. Al2O3 Passivated Film Formation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. XRD Pattern of Al2O3 Passivation Film . . . . . . . . . . . . . . . . . . . . . . . . . . . 3. Resistance to Ozone-Dissolved Water . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IV. Gas Permeability of Plastic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Gas Permeability of Plastic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Fluoridation Passivation Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Fluoridation of Electroless-Nickel – Phosphor-Plating Film . . . . . . . . . . . . . . . C. Performance of Nickel – Fluoride Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VI. Metallic Surface Fluorocarbon Coating Technology . . . . . . . . . . . . . . . . . . . . . . . . . . A. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Fluorocarbon CVD on NiF2 Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Electrostatic Powder Painting Film Deposition Technology . . . . . . . . . . . . . . . VII. Future Ultrapure Water Manufacturing Technology . . . . . . . . . . . . . . . . . . . . . . . . . .
342 343 345 348 350 351 351 352 354 355 357 357 357 358 358 363 363 366 366 366 366 369 369 370 370 370 372 373 373 373 374 375 377
I. ULTRAPURE WATER AND GAS-DISSOLVED WATER SUPPLY SYSTEM FOR FLUCTUATION-FREE FACILITY IKUNORI YOKOI
A. INTRODUCTION In recent years, we have seen the key drive to boost demands for semiconductors shift from such general-purpose appliances as personal computers to large scale integration (LSI), which is embedded in IT-related electric household appliances. Because of this situation, IT-related electric household appliances will need to be improved in versatility and quality, along with cost reduction. Accordingly, the product life cycle of these goods may be 6 months or more, which is far shorter than those general-purpose appliances whose life cycle is about 2– 3 yr, because consumers who purchase IT-related electric household appliances are more likely to be fickle. Furthermore, the figure for the production for IT-related electric household appliances is estimated to be a
UPW and Gas-Dissolved Water Supply System
333
hundred thousand to several million units, which is about one-hundredth to one-thousandth of the figure for general-purpose appliances. In order to enhance profitability in such low-volume/highvalue goods, the manufacturers should change their modality from such high-volume/low-mix production as 20– 30 thousand tips per month with lump-sum investment into such low-volume/ high-mix one as 2– 3 thousand tips per month with phased investment. With this in mind, the process engineering should be flexible to enable makers to spawn new goods as quickly as possible and meet a variety of consumers’ preferences in a timely manner. On the other hand, the atoms of silicon consisting of metal oxide semiconductor transistor, which is the basic device for in semiconductors (especially LSI) has been so subdivided to be easily calculated. Therefore, the functionality of its transistor is currently susceptible to even a bit of pollution, shake, vibration, or disorder. Hence, a fitting process engineering should be considered that meets “zero-entropy process,” which embodies no pollution, shake, vibration, or disorder, and guarantees a perfect restoration. Inevitably, this requires enhancement in quality of clean rooms and utility for each infrastructure. Recently, Tohoku University has established “Fluctuation-Free Facility: F3,” which is a nextgeneration, super-clean room to eliminate shake, vibration, or disorder within its site. The definition for F3 can be seen in Figure 10.1. An ultrapure water system, which meets standards in lowvolume/high-mix production with phased investment, has enabled manufacturers to shorten the production development time in ultrapure water into one-third of the counterpart under the previous system. Moreover, to achieve “zero-entropy process,” this system has also been able to supply ultrapure water with such a high degree of purity that it cannot be examined by analyzing the quality of water to the point of use (POU) by the routine method in which vibrations in POU pipes are controlled to less than one-tenth of the normal value. More details will be explained along with the latest manufacturing – production technology for ultrapure water, piping application technology, and wastewater reclamation technology enabling recycling of resources. Additionally, a water vibration-controlled hydrogenated cooling water closed system, in which hydrogenated water is employed cooling for enhancing the energy efficiency, will also be explained.
B. ULTRAPURE WATER SUPPLY TECHNOLOGY AND WASTEWATER RECLAMATION TECHNOLOGY The requirement in quality for the ultrapure water used for the wafer cleaning of LSI manufacturing process has been severe (Table 10.1). This reflects the continuous improvement in the performance and the integration of LSI. Industrial water, well water, city water, etc., are used as the raw water in making ultrapure water. These sources of water contain various impurities. Impurities in the water cannot be removed with a
FIGURE 10.1 The definition for F3.
334
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
TABLE 10.1 The Requirement in Quality for the Ultrapure Water (Quotation from SEAJ) Year
2004
2007
2010
2013
2016
Node DRAM 1/2 pitch (nm) Particles Diameter (nm) Number (N/ml) DO (mg/l) Temperature (8C) Metals (mg/l) TOC (mg/l)
hp90 90
hp65 65
hp45 45
hp32 32
hp22 22
45 1 0.3 23 + 0.7 4 0.5
33 1 0.1 23 + 0.5 1 0.3
23 1 0.1 23 + 0.4 1 0.3
16 1 0.1 23 + 0.3 1 0.3
11 1 0.1 23 + 0.2 1 0.3
single unit, but have been removed with two or more units. Thus, it is necessary to combine two or more units to make ultrapure water from raw water. The flow of ultrapure water manufacturing system in F3 is shown in Figure 10.2, and the ultrapure water quality is shown in Table 10.2. The ultrapure water manufacturing system is divided roughly into the following systems: the pretreatment system, the primary pure water system, the ultrapure water system, the ultrapure water transportation piping system, and the wastewater reclamation system. The wastewater reclamation system collects the drain exhausted by the wafer cleaning and recycles. 1. Pretreatment System Various impurities exist in raw water. This water obstructs the stability performance of the reverse osmosis (RO) unit and the ion exchange unit that composes the primary pure water system because the RO membrane is blockaded and the ion exchange resin is polluted. Then, so as not to obstruct the stability performance of these units, the suspended substances and the colloids in raw water
FIGURE 10.2 The flow of ultrapure water manufacturing system in F3.
UPW and Gas-Dissolved Water Supply System
335
TABLE 10.2 Specification of Ultrapure Water Quality Items Resistivity (MV cm) Particles (.0.05 mm) (N/ml) TOC (mg/l) DO (mg/l) Metals (ng/l) Au Pt Ir Pd Ag Pb Cu Ni Co Fe Zn Cr Mn Al Mg Ca K Na Li Ions (ng/l) NH+4 F2 Cl2 NO2 3 SO22 4 Silica (mg/l) Boron (mg/l) Bacteria (cfu/l)
Specifications
Notes
.18.2 ,1 ,0.5 ,1
Online monitor Online monitor Online monitor Online monitor
,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1 ,1
Sampling
,10 ,5 ,5 ,5 ,5 ,0.1 ,50 ,0.1
Sampling
Sampling Sampling Sampling
are treated with the system that combines the cohesion precipitation with filtration. This system is called a pretreatment system. Thus, so as not to ruin the performance of the primary pure water system, the pretreatment system should be well designed. Recently, the MF (microfiltration) and the UF (ultrafiltration) with durability for the suspended substances and the colloids have been developed [1]. The filtration unit using MF and UF can be supplied into the filter treatment water with stability regardless of the concentration of the suspended substances and the colloids in raw water, and can also contribute to the miniaturization of the pretreatment system. 2. Primary Pure Water System The primary pure water system is a core of the ultrapure water manufacturing system. Most of the impurities that have remained dissolved in pretreatment water are removed in this system. And, the
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
336
Dilute Waste Water
Waste Water Reclamation System
Primary Pure Water System Tank
Raw Water
Pre-Treatment System
LUVox
MD
RO
CDI
Cation Exchange Unit
Mixed Bed Polisher
RO; Reverse Osmosis LUVox; Low-Pressure Ultra Violet Oxidation MD; Membrane De-aerator CDI; Continuously De-Ionization
Tank
MD
To Ultrapure Water System Sub Tank
FIGURE 10.3 One example of a primary pure water system’s flow.
resistivity of pure water obtained by the primary pure water system reaches 15 MV cm or more. The primary pure water system is mainly composed of the RO unit and the ion exchange unit. Figure 10.3 shows one such example of a primary pure water system. The new technology that offers distinct advantages has been introduced primarily in the unit for ultrapure water manufacturing; it is also suitable for improving ultrapure water manufacturing an existing technology. In particular, the development of low pressure RO unit is remarkable. The running cost can be reduced by applying the RO membrane is capable of treating large quantities of water under low pressure. In recent years, the continuous deionization unit has gained recognition as a new processing technology for primary pure water system [2]. This unit can regenerate the ion exchange resin, while removing metals and ions in water through ion exchange resins using direct current. Moreover, only a few chemicals are required for regenerating the ion exchange resins with direct current. Therefore, the extent of chemical usage can be improved to 1/70, compared with an ion exchange unit that regenerates the membrane with chemicals, without DC.
3. Ultrapure Water System The ultrapure water system is called the second pure water system and subsystem. The primary pure water from which most impurities in water have been removed with the primary pure water system is further purified with this system. Here, it is necessary to remove trace metals and ions, dissolved gases, and particles. Figure 10.4 shows one such example of a ultrapure water system. This system is composed of an ultraviolet oxidation unit with low-pressure mercury lamp (LUVox unit), mixed bed polisher (MB), membrane de-aerator unit (MD unit), and UF. MB is a mixture of the anion exchange resin with the cation exchange resin. In LUVox unit, a small amount of an organic substance in pure water is resolved by irradiation with ultraviolet rays, and it is converted into an organic acid and a carbonic acid. In MB, an organic acid and a small amount of metals and ions in water are removed. In the MD unit, dissolved gases in water are removed. In UF, particles in water are removed in high accuracy. This system brings some positive effects on the following factors: . . .
Ultrapure water quality through high-quality ion exchange resin Particle removal performance of UF Removal performance of dissolved gases in water by MD
Figure 10.5 shows the outline of gas removal in ultrapure water with me MD unit. Which composed of hollow fiber membranes that selectively penetrate the gas. The gas that has dissolved
UPW and Gas-Dissolved Water Supply System
337
From Primary Pure Water System Ultrapure Water System Sub Tank
LUVox
Mixed Bed Polisher
MD
UF
POU RO; Reverse Osmosis LUVox; Low-Pressure Ultra Violet Oxidation Waste Water MD; Membrane De-aerator CDI; Continuously De-Ionization
FIGURE 10.4 One example of a ultrapure water system’s flow.
in ultrapure water can be removed by destroying the partial pressure equilibrium of the gas through the membrane [3].
4. Transportation Piping System for Ultrapure Water To prevent water quality deterioration caused by the stay of ultrapure water, the piping system that transports ultrapure water adopts the circuit system. It is a detailed arrangement to supply the ultrapure water manufactured from the ultrapure water system to POU, and to certainly return a part of the amount of the supply water to the tank of the ultrapure water system. This method is called a reverse return type. The ultrapure water transportation piping system relies on the amount of ultrapure water to POU and the amount of ultrapure water used with each POU. However, the piping pressure according to the usage condition of ultrapure water and the amount of ultrapure water is not always to be secured. Therefore, it is necessary to discriminate between the supply piping pressure and the return piping pressure so that ultrapure water that passes POU should not flow to other POUs. Figure 10.6 shows a diagram of the ultrapure water transportation piping system by type of reverse return [4].
Evacuation
De-aerated water
Feed water
Evacuation Gases
Hollow fiber membrane
Feed water
FIGURE 10.5 The outline of oxygen removal in ultrapure water with MD.
338
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Main return piping Main supply piping
FIGURE 10.6 A diagram of the ultrapure water transportation piping system by the reverse return type.
5. Wastewater Reclamation System The rinse drain of the wafer cleaning accounts for 70% or more of ultrapure water used at the semiconductor factory. The impurities included in it are fewer than the those included in the raw water (e.g., industrial water) necessary to manufacture ultrapure water. Moreover, because impurities included in rinse drain can be presumed, the rinse drain is easy to treat. Impurities of the rinse drain are sulfuric acid (H2SO4), hydrochloric acid (HCl), ammonia (NHþ 4 ), hydrogen peroxides (H2O2), alcohol, surface-active agents, etc. Therefore, it is possible to recycle it as raw water to manufacture ultrapure water after classifying wastewater in concentrations of impurities. The impurities of the dilute waste water can be removed by combining the ion exchange unit with the RO unit. However, it is difficult to remove a drain that contains a lot of low-molecular organic substances (like alcohol) using the ion exchange and the RO units. Hence, the biodegradation unit [5] and the physicochemical oxidation unit [6] should be combined to remove wastewater. For years we had trouble collecting high-concentration fluorine wastewater. In response, a new fluorine wastewater treatment technology has been developed [7 – 9]. It is a technology which collects the fluoride in fluoride wastewater as calcium fluoride with a purity of 99% or more and recycles it as a raw material for fluoric acid manufacture. The water treated under this technology can be used as raw water to manufacture ultrapure water. Nowadays, it is also possible to collect tetramethylammonium hydroxide (TMAH) solution used for developer of the photoresist in a purity of 99% or more, and to recycle it [10 – 12].
C. GAS-DISSOLVED ULTRAPURE WATER SUPPLY TECHNOLOGY To secure high earnings by manufacturing the semiconductor of design rule 90 and 65 nm, a reformative technology distinct from the conventional technology is needed because an enormous investment is needed to design a semiconductor factory of design rule 90 and 65 nm from the conventional technology. A good option is the gas-dissolved ultrapure water cleaning technology that effects cleaning more efficiently than the RCA cleaning technology based on H2O2. In the concept of “ultra clean technology” in the semiconductor manufacturing process, RCA has been known for many years [13]. The new cleaning technology using pH-controlled ozonated ultrapure water and pH-controlled hydrogenated ultrapure water. It has extremely high accuracy and reproducibility.
UPW and Gas-Dissolved Water Supply System
339
Here, the gas-dissolved ultrapure water system and the effect of the wafer cleaning using the pHcontrolled gas-dissolved ultrapure water are indicated. 1. Gas-Dissolved Ultrapure Water System Figure 10.7 shows the outline of the manufacturing process of the pH-controlled gas-dissolved ultrapure water. The pH-controlled gas-dissolved ultrapure water can be easily manufactured by using an efficient gas penetration membrane [13]. Moreover, to further decrease the metal ion concentration in the pH-controlled gas-dissolved ultrapure water, a cation exchange membrane is adopted in F3. In order to improve the de-aeration efficiency from ultrapure water and increase the lifetime of the membrane, we developed a new de-aeration system using water vapor generator (WVG) in which mixing gases (hydrogen and oxygen) with a catalyst react to make stoichiometric quantities of water vapor at 350 – 4008C. Dissolved gases in ultrapure water such as oxygen, nitrogen, etc. can be efficiently removed by using MD where the pressure of gas-phase side is reduced down to about 30 Torr and they are replaced by introducing 100% pure water vapor. The water vapor generated by WVG system is of high quality and has no contamination sources such as particles, metallic contaminants, etc. [14]. 2. Wafer Cleaning using pH-Controlled Ozonated Ultrapure Water Oxidation –Reduction potential (ORP) of the pH-controlled ozonated ultrapure water using CO2 has þ1.4 V (vs. NHE). The organic removal efficiency with a pH-controlled ozonated ultrapure water is shown in Figure 10.8 and the copper removal efficiency with a pH-controlled ozonated ultrapure water is shown in Figure 10.9 [15]. It is understood that various removal efficiencies of the pH-controlled ozonated ultrapure water are far superior to those of the conventional H2O2based solution. H2-UPW( pH: 9.3~10.0)
O3-UPW( pH: ~4.0)
POU
POU
NH3
MFC
For dissolving For dissolving NH3 O3
MFC
Purge line H2-UPW
O3 Purge line
POU H2
For dissolving For dissolving H2 CO2
MFC
MFC
Purge line
CO2 Purge line
Water vapor generator (WVG) Hollow fiber membrane module
H2 and O2 gas
2H2+O2 2H2O (stoichiometric reaction) Vacuum Pump
Purge line
MFC : Mass flow controller Ultrapure water
FIGURE 10.7 Schematic diagram of the pH-controlled gas-dissolved ultrapure water fabrication.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
340
0.05 0.04
As -received wafer
SPM cleaning (SPM: H2SO4/H2O2 =4/1 at 90°C)
Absorbance
O3-UPW Cleaning 0.03 0.02
O3-UPW; Ozonated ultrapure water Batch Cleaning; 10 min
CO2+O3-UPW Cleaning
0.01 0.00
2960 2920 2800 3040 3000 2880 2840 3020 2980 2940 2820 2900 2860 Wavenumbers (cm−1)
FIGURE 10.8 FTIR-ATR spectra of remaining hydrocarbon on wafer surface after various cleaning process.
3. Wafer Cleaning using pH-Controlled Hydrogenated Ultrapure Water When irradiated with megasonic radiation, the particle-removal efficiency of a pH-controlled hydrogenated ultrapure water using ammonia is more than the that of APM. The particleremoval efficiency of an APM cleaning and a pH-controlled hydrogenated ultrapure water with megasonic irradiation is shown in Figure 10.10 and the particle removal efficiency for various dissolved hydrogen concentrations of a hydrogenated ultrapure water is shown in Figure 10.11. From these results, a pH-controlled hydrogenated ultrapure water that dissolved hydrogen concentration is 1 mg/l or more can efficiently remove particles on a wafer [16]. Reduced action of the hydrogenated ultrapure water is described in Section I.E.
1.30V (vs. NHE)
Cu concentration (atoms/cm2)
1015 1014 1013
O3 -UPW Cleaning conditions 1. O3:5 mg/l 2. Batch Cleaning:5 min. 3. Exposing time : 2 days 1.36V (vs. NHE)
1012
1.42V (vs. NHE)
1011 1010 109 as-contamination
pH 6.5 UPW only
pH 5.0
pH 4.0
CO2 concentration
FIGURE 10.9 Copper-removal efficiency with a pH-controlled ozonated ultrapure water (O3-UPW) [contamination with Cu: 1 mg/l (using CuCl2), dipping time: 3 min].
UPW and Gas-Dissolved Water Supply System
341
FIGURE 10.10 Particle removal efficiency (.0.2 mm Al2O3) of APM cleaning and a pH-controlled hydrogenated ultrapure water (H2/NH3) with megasonic irradiation.
D. ELEMENT TECHNOLOGY
FOR
ULTRAPURE WATER
1. Piping Application Technology In the piping from ultrapure water production and chemicals supply system to POU, one needs to control the degree of purity in both ultrapure water and chemicals. As for piping cold ultrapure water, we have applied PVDF (polyvinylidene fluoride) or PEEK (polyetheretherketone), both of which are glassy inside and extremely unlikely to dissolve with impurities, and joined using the bead and crevice free (BCF) fusion method. Recently, PVDF/PEEK has been replaced by clean PVC (polyvinyl chloride) which is less expensive, equally insoluble, and glassy inside as PVDF/PEEK. However, in the hot ultrapure water, PVDF piping is used because of heat-resistant issues. In chemicals of a wet station, PFA (tetrafluoroethylene perfluoroalkoxy vinyl ether copolymer) tube is used.
FIGURE 10.11 Particle removal efficiency for various dissolved hydrogen concentrations of a hydrogenated ultrapure water.
342
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
FIGURE 10.12 Influence of metals for thermal decomposition of PFA [Comparison with generated C2F4].
Although fusion joining is executed under clean working space without any dust, we have recently identified that piping materials decompose during fusion joining because of oxygen and moisture concentration around the working space and the material of heater face (Figure 10.12 and Figure 10.13 [17]). In order to supply higher purity ultrapure water and chemicals to POU without degrading purity, the fusion join system is required that the surface of heater is made of nickel (Ni) and fusion-joint is carried out in clean working space which is controlled oxygen and moisture less than 1 ppm. 2. Build-Up Technology We have used a month as the build-up time for a new ultrapure water supply system, which has been used for wafer cleaning. The reason for this is that a build-up time for the number of particles in
FIGURE 10.13 Influence of oxygen for thermal decomposition of PFA on Ni [Comparison with generated CO2].
UPW and Gas-Dissolved Water Supply System
343
The number of Particles [N/mL]
10 Conventional New
8 6 4 2 1 0
0
5
10
15
20
25
30
Build up time [days]
FIGURE 10.14 Particle trend data (size: .0.05 mm) in ultrapure water.
ultrapure water would be slow. Therefore, in the new ultrapure water supplying system alkaline water cleaning was applied before H2O2 cleaning for sterilization. Therefore, the build-up time for the new ultrapure water supplying system could be cut down to one-third of the cost of the conventional ultrapure water supplying system [18]. Figure 10.14 illustrates particle trend data (.0.05 mm) in ultrapure water. After 10 days, the number of particles (.0.05 mm) in ultrapure water could be controlled at ,1 N/ml. The main reason is that various particles added inside surface of units and pipes could be more effectively stripped with electrostatic repulsion in alkaline water (pH 11) using TMAH (Figure 10.15). Table 10.3 shows the build-up time of UPW supplying system with an online monitor. TMAH used for alkaline water cleaning of ultrapure water supplying system does not affect the build-up time of total organic carbon (TOC) in ultrapure water at all. 3. Water Vibration Preventing Technology Piping microvibration was roughly classified into two vibrations. One is mechanical vibration which was generated by a shaft of water supply pump and second is water flow (water vibration). Based on the results obtained from basic tests, we decided on the specification of piping for microvibration-controlled water supplying system. To prevent increasing of piping microvibration, it is necessary to control dissolved gas concentration in water to less than the saturation level at atmospheric pressure, and flow rate using diaphragm valve and globe valve, or needle valve. Of course, vibration of water supply pump must be insulated [19].
FIGURE 10.15 z-potential values.
344
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
TABLE 10.3 Build-Up Time of Ultrapure Water-Supplying System with Online Monitor Trend Data Items Resistivity TOC Particles (.0.05 mm)
3h 18.24 MV cm 0.8 mg/l 0–4 N/ml
1 day 18.24 0.6 0–2
2 days 18.24 0.5 0– 2
Build-Up Time 5 days 18.24 0.4 0–2
10 days 18.24 0.3 0 –1
New 3h 2 days 10 days
Conventional 6h 1 month 1 month
Figure 10.16 represents piping microvibrations of the new ultrapure water supplying system and a conventional ultrapure water supplying system. Dissolved oxygen in a new ultrapure water was ,1 mg/l for 0.2 MPa and dissolved oxygen in a conventional ultrapure water was ,10 mg/l for 0.2 MPa. In the new ultrapure water supplying system, the supply pump was set on damper and was separated from system stage, so that it could be controlled at ,1 Gal (1022 m/s2) in the microvibration area (0.1 – 100 Hz). Beyond this, in ultrapure water supplying system, the amount of contaminants on wafer surface after rinsing could be reduced. Surface contamination was evaluated by signal strength of sulfur in total reflection x-ray fluorescence (TXRF) analysis data. Consequently, it was found that sulfur on wafer surface after rinsing by the new ultrapure water was less than that rinsed by conventional ultrapure water as shown in Figure 10.17. We supposed that dissolution of impurities from the inside surface of ultrapure water supplying system units and piping materials could be suppressed by controlling the piping microvibration. Figure 10.18 illustrates piping microvibration of the new hydrogenated ultrapure water supplying system. Dissolved hydrogen in hydrogenated ultrapure water was 1.3 mg/l for 0.2 MPa. It could be controlled at ,1 Gal in the microvibration area which is the same as the new ultrapure water supplying system.
FIGURE 10.16 Piping microvibration of the new (water-vibration controlled) ultrapure water supplying system in POU.
UPW and Gas-Dissolved Water Supply System
345
UPW(conventional)
UPW(new)
Concentration (atoms/cm2)
1.0E+13
TRXRF(TREX-610) target : W 30 kV, 200 mA, 1000 sec Incidence angle : 0.050° Flow rate : 0.5 L/min Vessel volume : 1L CZn(100) (3.5~7 Ω .cm)
1.0E+12
DL: 8.9E+10 1.0E+11 0
5000
10000
15000
20000
25000
Integration volume of water [Total water volume/ Vessel volume](−)
FIGURE 10.17 The amount of sulfur on wafer surface after the new (water-vibration controlled) ultrapure water rinse.
E. WATER VIBRATION-CONTROLLED COOLING WATER SYSTEM
USING
HYDROGENATED WATER
Figure 10.19 shows the electric power usage in the semiconductor manufacturing. The items with a high electric power consumption rate are air-conditioning and process equipments. Especially 70% of the air-conditioning electric power is spent on the control of temperature and humidity of the clean room, and manufacturing cooling water for process equipments. Therefore, it is necessary to attempt at optimization not only of the semiconductor manufacturing process but also the operating condition of air-conditioning to decrease the cost of the semiconductor product. Then, we
SUMMATION-AVERAGING-SPECTRUM
100 0.01 mm
Velocity [cm/s]
10−1
100 Gal Hydrogenated Ultrapure water
1 µm 10 Gal
10−2
0.1 µm 1 Gal
10−3
0.01 µm Ultrapure water 10−4
0.1 Gal 1 nm
10−5 0 10
0.01 Gal 101
102
Frequency [Hz]
FIGURE 10.18 Piping microvibration of the new (water-vibration controlled) hydrogenated ultrapure water supplying system in POU.
346
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing Air conditioning
Others 20%
Chiller 28% 40%
Manufacture 40%
8% 4%
Air circulating fan Others
FIGURE 10.19 Electric power usage in the semiconductor factory.
worked on the efficiency improvement of cooling water system for process equipments. The requirement for the future cooling system is as follows. . . . . .
Device cooling with water of high thermal conductivity Decrease of cooling water transportation power by closed system Chillerless operation Control of water vibration Highly effective cooling with cooling tower
It was estimated that the cooling water system that met these requirements decrease the running cost by 25% from the past, so does the power consumption by 40%. However, the cooling efficiency of cooling water was expected to drop as the scale generation occurs in sync with the corrosion of the water in various system configuration materials in the closed system. In the end, a new water vibration-controlled hydrogenated cooling water system that overcame this matter of concern was developed [14,19 –22]. Hydrogenated ultrapure water is more likely to be reduced than de-aerated ultrapure water. The relation between the dissolved oxygen concentration and ORP for hydrogenated ultrapure water is shown in Figure 10.20 and the growth controlling effect of a natural oxide film on the wafer surface
FIGURE 10.20 Relation between a dissolved oxygen concentration and ORP in hydrogenated ultrapure water (H2-UPW).
UPW and Gas-Dissolved Water Supply System
347
FIGURE 10.21 Growth controlling effect of a natural oxide film on the wafer surface with the hydrogenated ultrapure water (H2-UPW).
with the hydrogenated ultrapure water is shown in Figure 10.21. ORP of de-aerated ultrapure water is 0.4 V (vs. NHE) under oxidized atmosphere. On the other hand, ORP of the hydrogenated ultrapure water with dissolved hydrogen gas in de-aerated ultrapure water is 20.4 V (vs. NHE) under reduced atmosphere. Under such a circumstance, the oxidation reaction is controlled. Therefore, we can conclude that the growth of natural oxide film on the wafer surface can be controlled as far as we apply the hydrogenated ultrapure water as a replacement of ultrapure water when the wafer is rinsed. Moreover, the hydrogenated ultrapure water can control the oxidation corrosion of a part of the metal. The oxidation controlling effect with the hydrogenated ultrapure water in the copper piping used for the cooling water system is shown in Figure 10.22 and the comparison in the amounts of elision of copper in the copper piping is shown in Figure 10.23. The hydrogenated water can be easily manufactured by using an efficient gas penetration membrane (Figure 10.24). Moreover, the hydrogenated water can be manufactured from water other than ultrapure water. However, the treatment of water is needed in this case. Figure 10.25 shows the water quality data of the vibration-controlled hydrogenated cooling water system that handles water for practical use. The water vibration data of this system and presented in a detail in Figure 10.26. The dissolved gas concentration of hydrogenated cooling water was controlled in the area where the water vibration was not caused and that had reduced atmosphere
FIGURE 10.22 Oxidation controlling effect with the hydrogenated ultrapure water (H2-UPW) in the copper piping.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
348
FIGURE 10.23 Comparison of the amounts of elision of copper in the copper piping.
(dissolved oxygen: ,10 mg/l, dissolved hydrogen: 0.6 mg/l). As a result, it was considered to be able to continuously drive the system without causing the scale generation by corrosion. The hook-up piping that draws in hydrogenated cooling water to the process equipment should be of a material with a low gas penetration. Neither metals nor PVC satisfy this requirement. The oxygen of air dissolves in hydrogenated cooling water by the tube wall for PFA so the gas penetration is high. As a result, the reduction of hydrogenated cooling water cannot be maintained, and it interferes with the functioning of the hydrogenated cooling water system (Figure 10.27). In this circumstance, nylon used in the place PFA can prevent any problems from occurring.
F. SUMMARY A LSI system that will be installed in IT-related electric household appliances should be the key to the future growth in the semiconductor market. It will require more speedy and efficient production
Hydrogenated water H2 gas
MFC
exhaust
For dissolving H2 gas
(MFC: Mass flow controller) Vapor generation system Membrane de-aerator
2H2+O2→2H2O (stoichiometric reaction) Vacuum pump
Low water
H2 and O2 gas
Treatment water
Pre treatment system
FIGURE 10.24 Schematic diagram of hydrogenated water fabrication.
exhaust
UPW and Gas-Dissolved Water Supply System
349
vs
FIGURE 10.25 The water quality data of the water-vibration controlled hydrogenated cooling water system.
system that has not been seen in any general-purpose goods. In conjunction with the even more divided LSI, we will also consider such a process environment as materializing a complete reproduction and meeting the requirement for “zero-entropy process” without any pollution, shake, vibration, and disorder. To date, we have addressed achievement of high purity and high functionality of ultrapure water in the development of technology for ultrawater supply, taking measures in the environment as the first priority. However, in order to comply with a small and flexible production system with phased investment, as well as with more agility and higher efficiency required for IT-related electric household appliances, we will need to develop a movable, small ultrapure water system to immediately supply the high purity of ultrapure water to meet any requirements and constraints that have never been seen in the conditions prevailing in the process.
SUMMATION-AVERAGING-SPECTRUM
100
0.01 mm Conventional
Velocity [cm/s]
10−1
100 Gal 1 µm 10 Gal
10−2
0.1 µm 1 Gal
10−3
0.01 µm New 0.1 Gal
10−4
1 nm 10−5 100
0.01 Gal 101
102
Frequency [Hz]
FIGURE 10.26 Piping microvibration of the new (water-vibration controlled) cooling water supplying system in POU.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
350 10.0
Dissolved Oxygen [µg/L]
9.0 8.0
1. Flow Rate; 1.0L/min 2. Pressure; 0.2MPa
PFA Nylon SUS
7.0 6.0 5.0 4.0 3.0 2.0 1.0 0.0 0.0
1.0
2.0
3.0
4.0
5.0
Length of 1/4 Inches Tube [m]
FIGURE 10.27 Comparison of dissolved oxygen concentration in ultrapure water that flows in tube.
At this time, we have established the technology to instantly launch an ultrapure water system and offer a steady supply the water. This technology enables us to develop the ultrapure water within 10 days and to supply such an ultrapure water with such a price quality that it cannot be examined by routine analysis for the quality of water under the control of water vibration. Still, the technology is far lagging behind the counterpart in bulk gas. This state of affairs requires that we should make more concerted efforts to enhance the technology close to that for bulk gas process.
REFERENCES 1. S. Sawada, M. Oinuma, and M. Furuichi, Application of corrugated spiral ultrafiltration element to pretreatment in ultrapure water systems, in: International Congress on Membrane and Membrane Processes 1990, p. 395, 1990. 2. M. Osawa, S. Sato, T. Moribe, and T. Deguchi, Continuous electrodeionization to meet advanced ultrapure water, in: Ultrapure Water Asia 2000, Abstract in CD ROM, Singapore, 2000. 3. H. Sato, Deaeration technology, T. Ohmi, Ed., Ultraclean Technology Handbook, Vol. 1, Ultrapure Water, pp. 327– 344. 4. T. Shinoda, Piping system, T. Ohmi, Ed., Ultraclean Technology Handbook, Vol. 1, Ultrapure Water, pp. 151– 160. 5. M. Oinuma, T. Mizuniwa, S. Sawada, and K. Yabe, A new suspended solid separation system using spiral wound ultrafiltration membrane, in: 1993 Semiconductor Pure Water And Chemicals Conference, Santa Clara, pp. 180– 195. 6. H. Morita, Y. Obata, and M. Koizumi, Advanced oxidation process for TOC removal in ultra pure water system, in: 1996 Semiconductor Pure Water And Chemicals Conference, Santa Clara, pp. 53 – 67, 1996. 7. N. Miki, M. Maeno, T. Fukudome, S. Sato, K. Yabe, T. Imaoka, T. Okouchi, I. Sindo, and T. Ohmi, Reformation of the entire waste chemical disposal system for the semiconductor manufacturing wet process using advanced recovery technology of pure fluoride and phosphate, in: 1995 Semiconductor Pure Water and Chemicals Conference, Santa Clara, pp. 113 – 130, 1995. 8. S. Sato, N. Hitotsuyanagi, and K. Yabe, Practical application of fluoride recovery and processing technology to existing waste water treatment systems, in: 1995 Semiconductor Pure Water and Chemicals Conference, Santa Clara, pp. 131 – 152, 1995. 9. T. Hashimoto, H. Yamada, K. Shimizu, and M. Nishimura, Fluoride removal from wastewater using crystallization process and fluoride recycling system, in: 2002 IEEE International Symposium on Semiconductor Manufacturing, Tokyo, pp. 253 – 256, 2002. 10. H. Sugawara and H. Hemmi, Application of TMAH reclamation in LSI/LCD manufacturing, in: 1999 Semiconductor Pure Water and Chemicals Conference, Santa Clara, pp. 295 – 308, 1999.
UPW and Gas-Dissolved Water Supply System
351
11. H. Sugawara, Y, Tajima, and T. Ohmi, A study on reclaimed photoresist developer using an electrodialysis method, in: Solid State Dedices and Materials, Tokyo, pp. 18 – 19, 2001. 12. H. Sugawara, Y. Tajima, and T. Ohmi, Photoresist developer reclamation technology and system, in: 2001 IEEE International Symposium on Semiconductor Manufacturing, San Jose, pp. 481 – 484, 2001. 13. T. Ohmi, Advances in the use of UPW in wafer cleaning, in: 2000 Semiconductor Pure Water And Chemicals Conference, Santa Clara, pp. 1 – 13, 2000. 14. I. Yokoi, T. Ii, and T. Ohmi, A hydrogen-dissolved water application in wet cleaning technology in: 2000 Semiconductor Pure Water and Chemicals Conference, Santa Clara, pp. 329 – 344, 2000. 15. I. Yokoi, G. M. Choi, and T. Ohmi, Effect of pH values in ozonized ultrapure water on cleaning efficiency, in: Electrochemical Society Proceedings (Clean Technology in Semiconductor Device Manufacturing VII), Vol. 2001– 26, pp. 69 – 76, 2001. 16. H. Morita, J. Ida, T. Mizuniwa, and T. Ohmi, Hydrogenated ultrapure water production system for future wet cleaning process, in: M. Heyns, M. Meuris, and P. Mertens, Eds., Solid State Phenomena, Vol. 65– 66, pp. 7 – 10, 1999. 17. A. Hidaka, S. Yamashita, M. Kitano, A. Teramoto, Y. Shirai, and T. Ohmi, The thermal degradation prevention of fluorocarbon material for interlayer dielectric film, in: 2004 Joint International Meeting 206th Meeting on The Electrochemical Society (ECS 2004), Abstract in CD ROM, Abstract no. 0945, Honolulu, Hawaii, 2004. 18. I. Yokoi, M. Okumura, H. Haeno, and T. Ohmi, Build-up technology of a new ultrapure water supplying system, in: 2003 IEEE International Symposium on Semiconductor Manufacturing, San Jose, pp. 475– 478, 2003. 19. I. Yokoi, T. Yonehara, M. Kogure, S. Tomikawa, and T. Ohmi, Development of piping microvibration controlled water supplying system, in: 2002 IEEE International Symposium on Semiconductor Manufacturing, Tokyo, pp. 177–180, 2002. 20. Y. Yamazaki, I. Yokoi, T. Abe, T. Ii, M. Hirayama, and T. Ohmi, A newly developed cooling system using hydrogenated water, in: 1999 IEEE International Symposium on Semiconductor Manufacturing, Santa Clara, pp. 417– 420, 1999. 21. I. Yokoi, G. M. Choi, Y. Yamazaki, and T. Ohmi, A hydrogenated water application to semiconductor manufacturing, Solid State Phenomena, 76 – 77, 71 – 74, 2001. 22. I. Yokoi, Y. Yamazaki, T. Abe, and T. Ohmi, Application of hydrogenated water to guture cooling system, in: 2000 IEEE International Symposium on Semiconductor Manufacturing, Tokyo, pp. 297– 300, 2000.
II. WATER HAMMER-FREE SYSTEM MASAAKI NAGASE KOJI NISHINO NOBUKAZU IKEDA
A. OUTLINE If a fluid such as water is suddenly stopped as it flows through a pipe by closing a valve located on the line, pressure upstream of the valve rises sharply because the flow rate of the water upstream changes rapidly. In this situation, the kinetic energy of the water is changed by water compression and the elastic energy of the piping material. The high pressure that is generated propagates upstream at a certain speed until reaching the inlet (tank) where it bounces back and returns the other way. This is a phenomenon known as “water hammering.” Water hammering can damage connected devices and equipment, and cause all sorts of troubles that such as fitting leaks. Water hammering is an issue particularly with wet cleaners used in semiconductor manufacture. Wet cleaners must keep the fluid line very clean and the wet cleaning process fast. Hence, there is a great demand for a fluid supply system that delivers rapid valve operation and prevents pressure fluctuations along the line. Research and development have been promoted up till now to preventing water hammering in order to find solutions to its problems. Nevertheless, continuing whatever the
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
352
technology, the basic approach is to either set closure time on the fluid line longer or release the high pressure that builds inside the fluid line into a bypass line and then to the outside where it can be absorbed by a separate accumulator installed just for this purpose. With this former, the valve opening– closing time is long, which does not meet the requirements for increasingly faster semiconductor wafer processes. With this latter, the incidental cost of the equipment is very high; further this method does not offer the clean factor required by semiconductor manufacture. Figure 10.28 shows a test system for measuring water hammering. The fluid line consists of a tank, a pressure sensor, and an automatic pneumatic valve (NC diaphragm valve). The tank and pressure sensor are connected by a PFA pipe (6.35 mm 1 m). The water held in the tank is pressurized to a predetermined pressure and pumped downstream through the pipe. When the pneumatic valve is closed and in turn the actuator pressure falls from 0.490 to 0 MPaG, wave-like pressure fluctuations are transmitted to the pipe, as learned from Figure 10.29. The line pressure shows maximum change of 0.897 MPaG by closing the valve. Figure 10.30 shows the pressure reaction to water hammering caused by changing tank pressure. When tank pressure was changed by 0.098 – 0.490 MPaG, the maximum fluctuation in fluid line pressure was 0.578 –1.092 MPaG. Because of large pressure fluctuations like this, devices connected to fluid supply lines are often damaged and many problems such as fitting leaks occur. Additionally, it is necessary to set a high withstand pressure for the fluid supply line, which inturn results in investment in incidental equipment. A single-wafer wet cleaner used for semiconductor manufacture must be highly clean and fast. In other words, the time allotted to cleaning a single wafer must be kept short, hence the valve on the fluid supply line must be opened and closed more frequently than before. Consequently, there is a strong need for a water hammer-free fluid supply system. Using a two-step control for the actuator pressure of an automatic pneumatic valve, we were able to open and close the valve in less than 1 sec and keep the fluid supply line water hammer-free. Moreover, we built a water hammerfree system that allows users to automatically tune existing fluid lines by simply installing a vibration sensor to the outside of the fluid line pipe.
B. WATER HAMMER PREVENTION TECHNOLOGY This section introduces the water hammer prevention technology. In order to accurately control the actuator pressure of an automatic pneumatic (diaphragm) valve, an electro-pneumatic regulator is installed (Figure 10.28). A signal generator is used to control the voltage sent to the
Storage oscilloscope
Compressed gas 0.1–0.3 MPaG CH1
CH2
Signal generator Compressed gas
Tank Water
Electropneumatic regulator
Pressure sensor P
PFA pipe (6.35 mm, 1 m)
Pneumatic valve (NC)
FIGURE 10.28 Test system for measuring water hammering.
Drive pressure
UPW and Gas-Dissolved Water Supply System
353
0.49
Pneumatic valve Actuator pressure
0 MPaG
∆P 0.897 MPa Fluid line pressure
FIGURE 10.29 Water hammering phenomenon.
electro-pneumatic regulator according to a program. It completely prevents water hammering on fluid lines using a two-step (or multiple step) control by which the actuator pressure of the automatic pneumatic valve is changed from the open state to a set level (step pressure Ps), held there for a short-time period (Ts) and then changed again to the fully closed state. Figure 10.31 shows some examples. Here, an automatic pneumatic diaphragm valve (NC type, orifice: 4 mm) was installed on the PFA pipe (1/4 in. 1 m). Tank pressure was set to 0.294 MPaG. The concept is to prevent pressure fluctuation in the fluid line by a two-step control that inserts an actuator pressure step (step pressure: 0.162 MPaG, step hold time: 1 sec) between the open position and closed position of the valve (Figure 10.31B). Figure 10.31C and Figure 10.31D show the results when the step pressure is changed from 0.162 MPaG. These results show that, when step pressure is higher than the optimum value (Figure 10.31C), water hammering is not observed in the first-step actuator pressure change (from 0.490 to 0.165 MPaG), although pressure fluctuation in the fluid line is confirmed (DP 2nd) when actuator pressure is changed in the second step. Further, when the step pressure is lower than the optimum value (Figure 10.31D), it can be seen that pressure fluctuations occur because of the first step change (DP 1st). Figure 10.32 shows the results of detailed tests on the dependency of fluid line pressure change on step pressure. It can be seen that there is an actuator pressure that completely eliminates water hammering for all of the tank pressures. For example, at a tank pressure of 0.294 MPaG, pressure
Pressure change [ MPa]
1.2 1 0.8 0.6 0.4 0.2 0 0
0.1
0.2
0.3
0.4
Tank pressure [MPaG]
FIGURE 10.30 Tank pressure dependency.
0.5
0.6
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
354
0.490 MPaG Pneumatic valve actuator pressure (Electro-pneumatic regulator input)
1 step 2 step × MPaG
2s
1s
(a)
(b) 0.49
Pneumatic valve actuator pressure
0MPaG
Diaphragm valve Tank pressure: 0.294 MPa Valve: 6.35 mm
0 MPaG 0.490
∆P 0.897MPa
0.158
0 MPaG
∆P0 MPa
Fluid line pressure
(c)
(d)
0.490
0.161
0.490
0 MPaG
0.147
0 MPaG
∆0.284 MPa
∆P 0.118 MPa 2nd
1st Max. pressure rise of fluid line in 1st step: ∆P 1st Max. pressure rise of fluid line in 2nd step: ∆P 2nd
FIGURE 10.31 Tank pressure dependency.
fluctuations are kept to a maximum of 0.1 MPaG by a step pressure in the range 0.156 –0.160 MPaG. It can be seen that the higher the tank pressure, the higher the actuator pressure range that prevents water hammering. This example uses a normally closed pneumatic valve, but water hammering can also be prevented using a two-step actuator pressure control with a normal open valve.
C. VIBRATION-SENSING WATER HAMMER-FREE SYSTEM When thinking about actually building a water hammer-free system for a fluid supply line, it is necessary to set step pressure of the pneumatic valve actuator for each specific line because conditions such as fluid properties, pressure, and flow rate will differ according to the fluid line. For this reason, we built a vibration-sensing water hammer-free system that makes it possible to easily set step pressure for the fluid line. When the pneumatic valves on the fluid line are closed, the internal pressure of the pipe creates vibrating fluctuations that are transmitted to the pipe as well. We focused on these pipe vibrations. A vibration sensor was located on the pipe 30 cm upstream of the pneumatic valve. Figure 10.33 shows the measured pressure fluctuations of the fluid line and the pipe vibrations when the pneumatic valve was closed. When the pneumatic valve was controlled from the fully open position to the fully closed position in a single step, both fluid line pressure fluctuations and pipe vibrations were detected. In contrast, when the actuator pressure was controlled in two steps, both fluid line pressure fluctuations and pipe vibrations were completely eliminated. Using these findings, we built an automatic tuning system (Figure 10.34) that senses pipe vibrations when the pneumatic valve is closed and accordingly selects an actuator pressure that cancels out those vibrations. This vibration-sensing water hammer-free system installs a vibration sensor on existing pipes, senses vibrations, and regulates actuator pressure so as to eliminate pipe
UPW and Gas-Dissolved Water Supply System
355
0.098MPaG 1st 0.098MPaG 2nd 0.196MPaG 1st 0.196MPaG 2nd 0.294MPaG 1st 0.294MPaG 2nd 0.49MPaG 1st 0.49MPaG 2nd
Pneumatic Valve 6.35 mm N.C. Enlarged View 0.2 Fluid line pressure fluctuation P [ MPa]
Fluid line pressure fluctuation P [ MPa]
1.2 1 0.8 0.6 0.4 0.2 0 0
0.1
0.2
0.3
0.4
0.5
0.1
0 0.14
Pneumatic Valve Actuator Pressure [ MPaG ]
0.15
0.16
0.17
0.18
Pneumatic Valve Actuator Pressure [ MPaG ]
FIGURE 10.32 Dependency of water hammering upon pneumatic valve actuator pressure.
vibrations. The adjusted step pressure is recorded in the electro-pneumatic regulator and then the vibration sensor is detached. This system works by vibration detection, but tuning can be done using the feedback of a pressure sensor located on the fluid line as well.
D. CONTENT VOLUME CHANGE-FREE VALVE Water hammering is a phenomenon that occurs in open lines where fluids flow. It is now possible to minimize pressure fluctuation in fluid lines by controlling the actuator pressure of an automatic pneumatic valve in two stages so as to dampen and absorb the kinetic energy of the fluid when the valve is closed.
Vibration sensor
Pressure sensor P
Vibration sensor fixed outside the piping
30cm No measures taken against water hammer
Measures taken against water hammer
Actuator pressure 0.490
0 MPa
0.490
0.162
0 MPa
Fluid line pressure
Vibration sensor output
FIGURE 10.33 Pressure change in fluid line and pipe vibration when water hammering occurs.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
356
[ Valve open/close signal ]
Tuning box - Step pressure, hold time - Air-operated valve N.C. – N.O. switching
EP converter [ Supply voltage ] Signal converter + Electro-pneumatic Converter
Detects water hammering by using feedback of the vibration sensor located upstream of air-operated sensor to optimize the pressure to be changed step by step.
[ Supply pressure ]
Control pressure (0~0.5 MPa) Exhaust Pneumatic Valve NC (NO) type
Vibration sensor
Fluid supply
FIGURE 10.34 Pressure change in fluid line and pipe vibration when water hammering occurs.
The problem of water hammering stems from pressure fluctuation on closed fluid lines where fluid is not flowing. While the valve is closed, the fluid does not flow, but opening and closing the valve changes volume on the line, which creates pressure fluctuations. Figure 10.35 shows the fluctuation of a fluid line when an automatic pneumatic valve (diaphragm valve: 6.35 mm, NC type) is opened and closed. Manual valves (V1 and V2) have been located upstream and downstream of the sample valve, respectively. When fluid has been sufficiently replaced with water, valves V1 Diaphragm valve 6.7 kgf/cm2G
7.0
ON
6.0
Pressure [kgf/cm2G]
Fluid line pressure Actuator
5.0
Operating signal
Shaft Disc guard Diaphragm Fluid channel
4.0 3.0 2.0 OFF
1.0 0
P
0 kgf/cm2G 0
10
20 Time [s]
30
40
50 V1
Sample
FIGURE 10.35 Pressure change in closed fluid line (standard diaphragm valve).
V2
UPW and Gas-Dissolved Water Supply System
357 Content volume change-free valve
7.0 Actuator ON
Pressure [kgf/cm2G]
6.0
Shaft Disc guard
Operating signal
5.0 4.0
Diaphragm Fluid channel
3.0
Diaphragm 2.0
External connecting rod OFF
1.0
Fluid line pressure 0 kgf/cm2G
P
0 0
10
20 Time [s]
30
40
50 V1
Sample
V2
FIGURE 10.36 Pressure change in closed fluid line (content volume change-free valve).
and V2 are closed and fluid is introduced. These results were obtained by opening and closing the sample valve in this situation. Normally, large fluctuations in pressure parallel the opening or closing of a typical diaphragm valve used for fluid line supply. This is because diaphragm displacement changes the physical volume of the line. In order to control these pressure changes, we developed a valve (see Figure 10.36) that does not change content volume. It has two diaphragms inside, positioned one each to the high side and low side. These diaphragms work together to counter the volume change; if the volume changes of one diaphragm because of deformation when the valve is opened or closed, it is canceled out by the volume change of the other diaphragm. Accordingly, because valve volume is the same in the open and closed positions, and therefore, fluid pressure does not fluctuate when the pneumatic valve is opened and closed.
E. SUMMARY It is now possible to minimize the pressure fluctuations in both closed and open fluid supply lines. Valves can be opened or closed safely and highly clean fluid can be supplied by using the content volume change-free valve and water hammer-free system.
III. Al2O3 PASSIVATION TECHNOLOGY ON AUSTENITIC STAINLESS STEEL FOR OZONE USING PROCESS MASAFUMI KITANO
A. INTRODUCTION We have developed new stainless steel containing 3-wt.% Al and the formation technology of Al2O3 passivation film on its surface. Al is a typical element. So, Al2O3 does not transfer to another phases. In this study, we have investigated the condition of Al2O3 passivation film formation on austenitic stainless steel containing 3-wt.% Al and its resistance for ozone water.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
358
TABLE 10.4 Chemical Compositions of New Stainless Steel Containing 3-wt% Al
Al-containing austenitic stainless steel
Fe
Cr
Ni
Remaining
17.7
25.5
Compositions (wt%) Mo Mn Al
C
S
P
,0.01
,0.01
,0.01
,0.01
0.01
3.0
B. EXPERIMENTAL The specimens used in this study were high-purity double-melted 25Ni2218Cr224Al stainless steel. The composition is shown in Table 10.4. Figure 10.37 shows the schematic diagram of the experimental setup in order to evaluate the Al2O3 film formation. Oxidation was performed in a H2/H2O environment diluted with Ar. The gas distribution system is capable of introducing H2 and O2 gases diluted with Ar to a predetermined concentration into an oxidation furnace. The gas flow rate was 1 l/min. Moisture is generated in the moisture generation furnace made up of Ni by the reaction of H2 and O2 gases. The film thickness and the chemical composition of the films were examined by depth profile x-ray photoelectron spectroscopy (XPS) using a Shimadzu ESCA-1000S with Mg Ka radiation. The in-plane x-ray diffraction (XRD) pattern was measured by grazing incident angle xray diffraction method (2ux 2 w), using Cu Ka radiation. The incident angle was 0.48 for the Al2O3 passivated film. The measurement system was ATX-G (Rigaku Ltd.) XRD system with four-axes goniometer and 18 kW rotating anode. Corrosion test of Al2O3 passivated film for ozone water was performed dipping it in ozone water stream which flow rate is 1 l/min for 1 week. The concentration of dissolved ozone in water was 10 ppm. The change of film thickness and the chemical composition of the films were examined by XPS measurement. Surface observation of passivation film was investigated by scanning electron microscopy (SEM) observation using JEOL JSM-6401F. We have estimated by the different parameters comparing with the conventional austenitic SUS316L-Electro Polish (EP) surface and 200 nm of Cr2O3 passivated film on ferritic stainless steel [1]. 1. Al2O3 Passivated Film Formation Table 10.5 shows the standard formation enthalpy of various materials. The standard formation enthalpy of Al2O3 is large of its absolute value compared with another materials. It shows that Exhaust O2
Ar
MFC
MFC
Moisture Generator (Ni Tube) Exhaust
MFC MFC
H2 Ar
MFC
PID
PID Oxidation Furnace
FIGURE 10.37 Schematic diagram of the experimental setup in order to evaluate the Al2O3 film formation.
UPW and Gas-Dissolved Water Supply System
359
TABLE 10.5 Thermodynamic Stability of Various Oxides and Fluorides (kJ/mol) Oxide
DH0f
DG0f
Pbo CuO NiO MnO MnO2 SnO2 Fe2O3 SiO2 TiO2 Fe3O4 Cr2O3 Al2O3 Ta2O5 Ti3O5
285 2157 2244 2385 2520 2581 2824 2911 2913 21118 21140 21675 22046 22445
2130 2216 2363 2465 2520 2752 2857 2890 21015 21058 21582 21911 22318
Fluoride
DH 0f
DG0f
NiF2 FeF2 FeF3 CrF2 CrF3 MgF2 AlF3
2651 2661 21000 2774 21154 21123 21502
2604 2619 2732 21088 21070 21425
Note: H 0f , standard enthalpy of formation; DG0f , standard Gibbs, free energy of formation.
Al2O3 passivation film is thermodynamically very stable. Furthermore, Al is a typical elements for which the phase does not change after the film formation. So, we noticed an Al2O3 passivation film. The oxidation of metal is generally given by the following equation. 2x 2 M þ O2 ¼ Mx Oy y y
(10:1)
9 8 2=y = < aM x Oy DG0 ¼ RT ln K ¼ RT ln : a2x=y P ; O2 M
(10:2)
DG 0 of this equation is as follows:
DG 0 is related to equilibrious oxygen dissociation pressure and temperature when the activity of pure metal and metal oxide are 1. 9 8 2=y < aM = 1 x Oy ¼ RT ln DG0 ¼ RT ln K ¼ RT ln : a2x=y P ; PO 2 O2 M
(10:3)
The oxygen potential is related to the pressure ratio between hydrogen and moisture (PH2/PH2O), and it is shown as follows. 2H2 þ O2 ¼ 2H2 O
(10:4)
360
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
p2 DG0 ¼ RT ln 2 H2 O pH2 pO2 0
! (10:5)
RT ln pO2 ¼ DG RT ln
p2H2 p2H2 O
! (10:6)
From these equations, the oxidation – reduction reaction is decided by controlling PH2/PH2O ratio and temperature. For example, the oxidation – reduction reaction of Al22Al2O3 in the H2/H2O atmosphere is given by following equation. Cr is also shown by the similar equation. 2H2 þ O2 ¼ 2H2 O DG88008C ¼ 380 kJ/mol 4=3Al þ O2 ¼ 2=3Al2 O3 DG88008C ¼ 910 kJ/mol 2=3Al2 O3 þ 2H2 ¼ 4=3Al þ 2H2 O
DG88008C ¼ 530 kJ/mol
DG88008C ¼ 530000 ¼ 19:14 1073 [
(10:7)
P2 log H22 O PH2
PH2 ¼ 8 1012 PH2 O
In the case of PH2/PH2O ¼ 1 105 atmosphere with 8008C equilibrium of reaction (10.7) moves to the direction which consumes the moisture. In short, the oxidation of Al is generated. On the contrary, PH2/PH2O of the equation (10.8) is calculated to 4 104 at 8008C. 2 4 Cr2 O3 þ 2H2 ¼ Cr þ 2H2 O 3 3
(10:8)
In the case of PH2/PH2O ¼ 1 105 with 8008C, equilibrium of reaction (10.8) moves to the direction which consumes the hydrogen. In short, the reduction of Cr2O3 occurrs. In this way, the stable state of each element is decided by H2/H2O gas mixing ratio and temperature. Figure 10.38 shows some relevant oxidation –reduction equilibrium curves based on Ellingham’s work [2] in 1944, which was developed by Richardson and Jeffes [3]. From this figure, it is easily possible to read the equilibrium state of the various oxides in the fixed PH2/PH2O ratio and temperature. Figure 10.39 shows XPS depth profile of stainless steel surface before and after oxidation and with pH2/pH2O ¼ 1 104 at 9008C for 30 min. Horizontal axis shows film thickness and vertical axis shows chemical composition. Before oxidation, the XPS profile of the surface indicates a normal stainless steel surface covered by natural passivated surface by chromium oxide. However, after oxidation, only Al and O are detected up to 30 nm showing that Al2O3 passivation film is formed by these oxidation procedures. From the binding energy of XPS spectrum (not shown), the chemical states of Fe, Cr, and Ni under 30 nm from the surface are confirmed as metallic rather than oxidized ions indicating that the oxide is etched off by H radical or reduced by Al in some regions of the surface. Al2O3 passivation film thickness without Cr, Fe, and Ni is defined as a 100% Al2O3 passivation film thickness. We investigated the forming condition of a 100% Al2O3 passivation film. Figure 10.40 shows the relationship between 100% Al2O3 film thickness and pH2/pH2O. It is shown that the film is formed at a pH2/pH2O above 2 103. In the case of under 2 103, Cr2O3 passivation film is also formed with Al2O3 film. Figure 10.41 shows the relationship between 100%
UPW and Gas-Dissolved Water Supply System
361
1/108
H2 / H2O
1/104 PO2 (Pa)
Temperature / °C 600 900 120 0
300
0
1/106 1/105
1500
1/103
1800
0
O
1/102 1/10 −200
iO =2N
i+O 2
2N
1/1
2H 2O +O 2=
2H 2
O
∆G = RT ln PO2/kJ⋅mol
−400
2Fe
e =2F
+O 2
H O3 3Cr 2
−600
=2/ r+O 2
4/3C
103 101 1
10/1
10−1
102/1
10−3
103/1
10−5
104/1
10−7
105/1 106/1
−800
105
10−9 10−11
107/1 I 2O 3
/2A
−1000
O
AI+
4/3
=3 2
108/1 109/1
−1200 300
600
900
1200
1500
2100 1800 H2 / H2O
Temperature /K
10−13 10−15
1010/1
10−17
1011/1
10−19
1012/1
FIGURE 10.38 Some relevant oxidation– reduction equilibrium curves based on Ellingham’s work in 1944, which was developed by Richardson and Jeffes. (From H.J.T. Ellingham, J. Soc. Chem. Ind. Trans., 63, 125, 1944; F.D. Richardson and J.H.E. Jeffes, J. Iron Steel Inst., (London), 160, 261, 1948. With permission.)
(a)
Elemental Composition Ratio [%]
100
80
Fe
Cr
Ni
Al
O
60
40
20
0 0
10
20
30
40
50
60
70
80
90
100
Film Thickness [nm]
FIGURE 10.39 (a) XPS depth profile of before oxidized stainless steel surface, (b) XPS depth profile of after oxidized stainless steel surface with pH2/pH2O ¼ 1 104 at 9008C for 30 min.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
362 (b)
Elemental Composition Ratio [%]
100
Cr
Fe
80
Ni
Al
O
60
40
20 100%AI2O3 Film 0 0
10
20
30
40
50
60
70
80
90
100
Film Thickness [nm]
FIGURE 10.39 Continued.
Al2O3 passivation film thickness and treatment temperature. It is shown that the 100% Al2O3 passivation film is formed at above 7508C In the case of under 7508C, Cr2O3 film is also formed with Al2O3 film. Figure 10.42 shows an Arrhenius plot for the formation of 100% Al2O3 passivation film. The vertical axis shows 100% Al2O3 film thickness and horizontal axis shows a reciprocal number of each temperature. The activation energy of 100% Al2O3 film formation is calculated at 1.36 eV. In our study, in the environment with pH2/pH2O 2 103 with the temperature over 7508C, oxygen potential of Al2O3 is lower than oxygen potential of H2/H2O mixing gas. So, Al is oxidized to Al2O3 by H2O. While, oxygen potential of Cr, Fe, and Ni oxide is larger than H2/H2O mixing gas, each of the oxides is resolved and they discharge oxygen in order to keep equilibrium.
10%H2, Xppm H2O/Ar, 800C×1h 108
107
106
105
104
103
pH2/pH2O 102
100% Al2O3 Film Thickness [nm]
30 25 20 15 100%AI2O3 10 5 0 0.001
0.01
0.1
1
10
100
H2O Concentration [ppm]
FIGURE 10.40 Relationship between 100% Al2O3 film thickness and pH2/pH2O value.
1000
UPW and Gas-Dissolved Water Supply System
363
10% H2, 1 ppm H2O/Ar, 1 l/min, 30 min 120
Film Thickness [nm]
100 80 60 40 20 0 600
650
700
750
800
850
900
950
1000
Temperature [°c]
FIGURE 10.41 Relationship between 100% Al2O3 passivation film thickness and treatment temperature.
2. XRD Pattern of Al2O3 Passivation Film Figure 10.43 shows typical in-plane XRD profiles of the stainless steel surface before and after oxidation with pH2/pH2O ¼ 1 104 at 9008C for 2 hour. Within the measurement range, the diffraction peaks from (1 1 1), (2 0 0), and (2 2 0) planes were observed from austenitic stainless steel. While, after the passivation by Al2O3, a broad and week peak appeared at around 688. This peak originates for the (4 4 2) plane of d-Al2O3. However, it seems that the structure of Al2O3 film on stainless steel is nearly amorphous. 3. Resistance to Ozone-Dissolved Water Figure 10.44 shows SEM photographs before and after Al2O3 passivated stainless steel surface dipped in ozone water stream with a flow rate of 1 l/min for 1 week. The concentration of dissolved ozone in water is 10 ppm. In the case of SUS316L-EP surface, it can be confirmed that the pit Condition of Oxidation : 10%H2, 1ppmH2O/Ar, 1L/min, X°C × 30min
Film Thickness [nm]
1000
950°C
900°C
850°C
800°C
750°C
100
10
1 0.0008
100%Al2O3 Ea=1.36 [eV]
0.00085
0.0009
0.00095
1/T [1/K]
FIGURE 10.42 Arrhenius plot for the formation of 100% Al2O3 passivation film.
0.001
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
364
FIGURE 10.43 Typical in-plane XRD profiles of before oxidized stainless steel surface and after oxidized stainless steel surface with pH2/pH2O ¼ 1 104 at 9008C for 2 hour.
charge appears on the surface changing the surface quality. Even in the case of Cr2O3-passivated surface, which shows sufficient resistance for halogen gas, shows damaging by ozone water. On the contrary, in the case of Al2O3-passivated stainless steel, surface condition is very good after the dip in ozone/water stream. Figure 10.45 shows XPS spectra before and after the exposure to the ozone-dissolved water. Horizontal axis shows film thickness and vertical axis shows the chemical composition. In the case of SUS316L-EP surface and Cr2O3-passivated stainless surface, it was found that the ferric oxide film thickness increases while chromium oxide decreases or disappears from the surface. Cr2O3-passivated film having a strong resistance for chlorine gas has no resistance for the ozone. This phenomenon can be explained as follows. Cr is a transition metal and it exhibits various oxidation numbers. In the case of Cr2O3, its oxidation number is þ3. But, when it is
Before
After
After
Before
SUS316L-EP
Cr2O3
(× 1000) Corrosion Condition 10ppmO3/UPW, 1 l/min for 1week After
Before AI2O3
FIGURE 10.44 SEM photographs before and after Al2O3 passivated stainless steel surface dipped in ozone water stream which flow rate is 1 l/min for 1 week.
0
0
5
5
15
20
Cr, Fe 25
15
Cr, Fe
20 25
Depth from Surface [nm]
10
Al
O
After
Depth from Surface [nm]
10
Al
O
Before
30
30
35
35
0
20
40
60
80
100
0
20
40
60
80
100
0
0
5
5
O
15
Cr
20 25
15 20
Fe
25
Depth from Surface [nm]
10
Cr
After
Depth from Surface [nm]
10
O
Before
Cr2O3
Fe
30
30
35
35
0
20
40
60
80
100
0
20
40
60
80
100
0
0
Cr 5
5 10
Fe Cr
15
O 20
Before
SUS316L-EP
25
10
15
20
O 25 Depth from Surface [nm]
Fe
After
Depth from Surface [nm]
FIGURE 10.45 XPS spectra before and after the exposure to the ozone-dissolved water (10 ppm O3UPW, 1 l/min for 1 week).
0
20
40
60
80
100
0
20
40
60
80
100 Atom Composition [ % ] Atom Composition [ %]
Atom Composition [ % ]
Atom Composition [ % ]
Atom Composition [ % ] Atom Composition [ % ]
Al2O3
30
30
35
35
UPW and Gas-Dissolved Water Supply System 365
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
366
exposured to ozone, its oxidation number changes from þ3 to þ6. In other words, Cr2O3 film turns into CrO3. CrO3 vaporizes because of its high vapor pressure. In the case of Al2O3, even though it passivated the stainless, it is not etched off by ozone. It was confirmed that the Al2O3 passivated film on the stainless steel is resistant toward ozone which has strong oxidation ability.
REFERENCES 1. Y. Shirai, M. Narazaki, and T. Ohmi, IEICE Trans. Electron., E79-C, (3), 385 – 391, 1996. 2. H.J.T. Ellingham, J. Soc. Chem. Ind. Trans., 63, 125, 1944. 3. F.D. Richardson and J.H.E. Jeffes, J. Iron Steel Inst., (London), 160, 261, 1948.
IV. GAS PERMEABILITY OF PLASTIC MATERIALS HIROTO IZUMI TADAHIRO OHMI
A. INTRODUCTION It is not an exaggeration to say that PFA is the most important material among the plastic materials used for chemical and ultrapure water delivery systems in the ULSI industry. This is because PFA is used for delivery systems of hydrofluoric acid and other various chemicals that directly come in contact with Si wafer surface to clean it. There are some studies that analyze impurities and particles in the chemicals that are applied to PFA so as to improve its properties and fabrication methods [1]. Thanks to these studies, contamination derived from the delivery system made from PFA has been minimized. There are not many studies, however, about PFA-derived gas components mixed into chemicals or about gas components permeating through and released from PFA to cleanroom air. This section will describe the behavior of gaseous components which permeate through PFA.
B. GAS PERMEABILITY OF PLASTIC MATERIALS Gas components that permeate through PFA to be mixed into ultrapure water were measured. Figure 10.46 shows the measurement system. Ultrapure water with dissolved oxygen of 2– 5 ppb was fed through PFA piping system while the piping system was surrounded by oxygen-rich ambience. By changing the pressure of the surrounding oxygen gas from 2 to 5 kgf/cm2, oxygen concentration in ultrapure water flowing through the PFA pipe was measured. Oxygen concentration in ultrapure water was found to rise over time and leveled off after about 10 h. This demonstrated that oxygen permeated through PFA pipe and got dissolved into ultrapure water. Figure 10.47 shows the measurement results. Although the concentration of dissolved oxygen in ultrapure water depends on oxygen pressure outside of the PFA pipe, the coefficient of gas permeability is calculated to be about 3.7 ml cm/m2 min cm of mercury regardless of oxygen pressure. When ultrapure water was replaced by H2O-free argon gas in the above experiment, oxygen gas did not permeate through PFA pipe. This finding suggests that permeation of gas component through PFA should have a lot to do with the presence of H2O. Next, the authors investigated whether or not the components in liquid solution would permeate through PFA. Almost the same measurement system as that shown in Figure 10.46 was used in this experiment. PFA pipe, which was filled with nitrogen gas, was surrounded with 0.5% HF/H2O solution. After 5 h, nitrogen gas in the PFA pipe was analyzed with FT-IR. H2O of about 100 ppm and HF of about 3 ppm were detected in nitrogen gas. This result indicates that components in solution also
UPW and Gas-Dissolved Water Supply System
367
D.O. Meter
Blow
UPW Blow
N2 N2 or O2
Blow P1
PFA tube
D.O. of inlet UPW : 2~5ppb
FIGURE 10.46 Gas permeation measurement system.
permeate through PFA pipe. The same experiment was performed while HF concentration was varied from 0.5% to 50%. Figure 10.48 shows the amount of H2O permeating through PFA as a function of exposure time. Amount of H2O went up over time, and leveled off after 4 h. What is interesting is that less H2O permeates when HF concentration is high. Figure 10.49 shows the amount of HF gas permeating through PFA as a function of exposure time. Along with rise of HF concentration, the amount of HF gas permeating through PFA went up. Figure 10.50 shows HF vapor pressure and the amount of HF gas permeating through PFA as a function of HF concentration in HF/H2O solution. HF vapor pressure and amount of HF gas permeating through PFA behave in the same way against HF concentration in HF/H2O solution, which implies that HF vapor pressure of HF/H2O solution has a lot to do with the amount of HF gas permeating through PFA pipe. It is speculated that the liquid turns into vapor at its interface with PFA pipe and permeates through PFA pipe in the form of gaseous molecules. In an attempt to find out H2O impact on gas permeation, authors baked PFA pipe sufficiently enough to remove H2O from PFA pipe, and conducted an experiment to get dry HF gas (0.9 vol%) permeate through the PFA pipe. The dry HF gas used in this experiment featured HF vapor pressure equivalent to that of 50% HF/H2O solution. Table 10.6 shows the experimental
40
D.O. Concentration (µg/l)
35
PFA Tube D=6.35/3.17mmΦ
30
L=1m
25 20 H2O Q=0.51/mm D.O=2 – 5 µg/l
15 10 5 0 0
1
2
3
4
5
6
O2 Gas Pressure (Kgf/cm2)
FIGURE 10.47 Oxygen concentration in ultrapure water as a function of exposure pressure to oxygen gas.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
368
5% HF
0.5% HF
18% HF
38% HF
50% HF
H2O permeation (ml⋅min/cm2/day)
2.5
2
1.5
1
0.5
0 0
1
2
3
4
6
5
7
8
9
10
11
12
13
14
Exposure Time (H)
FIGURE 10.48 Amount of H2O permeating through PFA pipe as a function of exposure time to HF/H2O solution.
results. HF is found not to permeate through PFA pipe when dry HF gas is to come in contact with PFA pipe from which H2O is removed. This result also proves the speculation that H2O has something to do with gas permeation. It is considered because PFA molecular bond is loosened as it contacts with H2O with high dielectric constant, which allows the gas components to easily permeate through PFA pipe. Or it may be because the gas components get dissolved into H2O in PFA pipe and are enabled to permeate through the pipe.
0.5% HF
5% HF
18% HF
38% HF
50% HF
HF permeation (µlm⋅in/cm2/day)
1.4 1.2 1 0.8 0.6 0.4 0.2 0 0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
Exposure Time (H)
FIGURE 10.49 Amount of HF permeating through PFA pipe as a function of exposure time to HF/H2O solution.
UPW and Gas-Dissolved Water Supply System
369
HF Permeation
1.4
1.4
1.2
1.2 1
1 0.8
0.8
0.6
0.6
0.4
0.4
0.2
0.2
HF Vapor Pressure (KPa)
HF permeation (µl⋅min/cm2/day)
HF Vapor Pressure.
0
0 0
10
20
30 40 HF Concentration (wt%)
50
60
FIGURE 10.50 HF vapor pressure and amount of HF permeating through PFA pipe as a function of HF concentration.
TABLE 10.6 Amount of HF Permeating through PFA Pipe Ambience
HF gas permeation (m1 mm/cm2/day)
Dry 0.9 vol. % HF gas
50% HF aq.
0.2
1.2
C. CONCLUSION PFA is an excellent resin that is capable of delivering chemicals while suppressing generation of metallic particles. However, it is not perfect in terms of gas permeation. For example, when HF/H2O solution is delivered through PFA piping system, HF gas permeating through the PFA pipe may contaminate the cleanroom air to cause various problems. The only way to prevent gas permeation is to construct another pipe to surround the PFA pipe (double-piping system) to shield the permeating gas components. In the actual manufacturing process, however, it is often difficult to build the double-piping system, for example, pipes inside of chemical delivery system. In order to overcome these problems, the authors studied the possibility of constructing a metal piping system to deliver electronics-grade high-purity chemicals, as the metal did not allow gas components to permeate through it. This technology will enable us to totally eliminate gas permeation and to realize a wet process in which the dissolved gas components in chemical solutions are perfectly controlled.
REFERENCE 1. K. Shihoya. Development and evaluation for new PFA resin, in: Proceedings of Semiconductor Pure Water and Chemicals Conference, pp. 175 – 214, 1997.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
370
V. FLUORIDATION PASSIVATION TECHNOLOGY HIROTO IZUMI TADAHIRO OHMI
A. INTRODUCTION As discussed in Section 10.IV, PFA used for chemical delivery system and wet process allow gas components, though in trace amounts, to permeate through it. The gas permeation may lead to contamination of cleanroom air, corrosion of metallic materials, and wafer damages. Metals do not induce such a permeation of gas components. When metallic materials are used as they are, however, chemicals may be contaminated with metallic impurities and cannot be used in ULSI device manufacturing process. Oxidation passivation technology is currently studied as a means to improve corrosion resistance of metal surface [1]. This technology, which works well against corrosive gases used in dry process, is not effective in protecting metal surfaces against aqueous solutions containing hydrofluoric acid. A new metal surface treatment technology is being developed which is outstandingly resistant to aqueous solutions containing hydrofluoric acid. This technology gets fluorine gas to directly react with a metal surface to form robust fluoridation passivation film on the surface [2,3]. As metal fluoride is generated in the reaction between fluorine gas and metallic material in this technology, different passivation films are formed depending on the type of metallic material. On stainless steel surface, for instance, passivation film of iron fluoride which is a major component of stainless steel is formed. On nickel surface, passivation film of nickel fluoride grows. When fluorine gas reacts with electroless-nickel – phosphorus-plating surface, phosphorus contained in plating film is evaporated, and a thick passivation film of nickel fluoride is formed which has excellent resistance to corrosive gases and chemicals. This section will describe the fluoridation passivation technology for electroless-nickel – phosphorus-plating film and performance of nickel fluoride passivation film.
B. FLUORIDATION OF ELECTROLESS-NICKEL – PHOSPHOR-PLATING FILM In this study, aluminum alloy (Al/3.5% Mg) based on 99.9% aluminum was used as a starting surface. This aluminum alloy surface was plated with nickel and Phosphorus. Electrolessnickel –Phosphorus-plating solution was composed of nickel salt and reducing agent such as NaH2PO2. Figure 10.51 shows the fluoridation system. The reaction chamber was built with nickel that is resistant to high-temperature fluorine gas. Its temperature was controlled with PID. Figure 10.52 shows thickness of grown nickel – fluoride film as a function of phosphorus concentration in plated film at varied fluoridation temperatures. Phosphorus concentration in plating film used in this experiment was 3.6%, 7.8%, and 12%. Phosphorus concentration was measured with
Heater Exhaust
Fluorine sample Nitrogen Heater
FIGURE 10.51 Apparatus for fluorine passivation of metal surface.
Vacuum Pump
UPW and Gas-Dissolved Water Supply System
371
Film Thickness (A)
2000 350°C
1500
325°C
1000
300°C
500 0
275°C
0
5 15 10 Phosporous Concentration (wt.%)
FIGURE 10.52 Relationship between the phosphorus concentration of Ni2 2P film and the thickness after fluoridation at 275, 300, 325, and 3508C for 100 min.
ICP-AES after dissolving the plated film with nitric acid. Measurement error for each concentration was +0.5%. Thickness of nickel fluoride film formed through fluoridation was measured with an optical measurement system. Film thickness with 0% phosphorus concentration shown in Figure 10.52 represents thickness of pure nickel plate. Figure 10.52 indicates that thickness of nickel fluoride film formed through fluoridation increases along with phosphorus concentration in the plated film. Phosphorus in the film generates PF5 gas when it reacts with fluorine. 5 P þ F2 ! PF5 " 1592 kJ/mol 2 As the generated PF5 gas permeates through the fluoride film and eventually gets released out, diffusion of fluorine gas to reaction interface is accelerated, which contributes to formation of nickel fluoride film. Table 10.7 shows the activation energy of reaction between the plated surface and fluorine gas at varied phosphorus concentrations. Along with rise of phosphorus concentration, the activation energy gets lower, which suggests the phosphorus in the plated film should contribute to fluoridation. Figure 10.53 shows the XPS profile of nickel fluoride film. As shown in Figure 10.53, fluoride formed through fluoridation is a monolayer nickel fluoride film which does not contain phosphorus. This is because, as described earlier, fluoridation of phosphorus takes place in parallel with fluoridation of nickel, and volatile PF5 gas generated by the secondary reaction is released out of the film. When pure nickel is fluoridated, a monolayer nickel fluoride film is also formed, which is by the same in principle as that of nickel fluoride film formed in the above process. When nickel fluoride film is formed through fluoridation of pure nickel, however, the fluoridation reaction is restricted by diffusion of fluorine gas, and thereby the formed film is very thin. On the other hand, nickel fluoride film formed on nickel phosphorus plated film is several times thicker because of the above-mentioned secondary reaction. Gases generated through fluoridation
TABLE 10.7 Activation Energy of Reaction between Plating Surface and Fluorine Gas P concentration (wt.%) 3.6 7.8 12
Activation energy (eV) 0.47 0.41 0.39
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
372
F
Ni
P
O
100 90 80 Atom Percent (%)
70 60 50 40 30 20 10 0 0
1
2
4
6
8 12 10 Sputter Time (min)
14
16
18
20
22
FIGURE 10.53 Depth profile of XPS spectra from a fluorine passivated Ni2 2P film surface.
reaction of nickel phosphorus plated film were qualitatively analyzed with FT-IR. The FT-IR analysis identified absorbance peaks at 1027 and 947/cm, which confirmed the generation of PF5 gas. The nickel fluoride passivation film formed with this technology features outstanding resistance to corrosive gases will be described later. In order to apply this technology to the wet-process chamber in which ultrahigh-purity chemicals with metallic impurity of the ppt order are processed, however, it is essential to form defect-free passivation film with excellent corrosion resistance. Plating solution used for electroless nickel – phosphorus plating falls far short of “clean solution” as it contains nickel ion source, reducing agent of nickel ion and many other components. Unless surface on which fluoridation passivation is performed is perfectly uniform, it is not possible to form a flawless corrosion resistant film. Purity of plating solution was improved by circulating it through filtration. Table 10.8 shows particle count in ordinary plating solution and in the filtered plating solution. Ordinary plating solution contains 0.1 mm particles of more than 10,000/cm3. On the other hand, the number of 0.1 mm particles in 1 cm3 is reduced to less than 10 in the filtered solution. Fluoridation passivation film on nickel –phosphorus plated film formed with the filtrated plating solution was developed and characterized.
C. PERFORMANCE
OF
NICKEL – FLUORIDE FILM
Figure 10.54 shows SEM images of the surfaces exposed to HF-containing water. Nickel – phosphorus plating film developed without the fluoridation passivation is severely corroded, but
TABLE 10.8 Particle Count in Plating Solution Particle size (mm) Ordinary solution Filtrated solution
0.1 11538 3
0.2 2863 1
0.3 321 0
Note: Filtrated solution: Filtrated by filter (0.1 mm), Unit: particle/cm3.
0.5 205 0
UPW and Gas-Dissolved Water Supply System (a)
373 (b)
Ni-P Plating
Fluoridated Ni-P Plating
FIGURE 10.54 SEM photograph of (a) unpassivated and (b) passivated Ni2 2P film surface exposed to wet hydrogen fluoride gas. Wet hydrogen fluoride gas: HF 5%, H2O 1%, N2 94%, exposure environment: at 1 kg/cm2 (258C, 72 h).
surface of nickel fluoride film is free from corrosion. It was also confirmed that the nickel fluoride film was highly resistant to hydrogen chloride gas and 20% H2SO4/H2O solution. Corrosion resistance of the nickel fluoride film was also studied by exposing it to the surfactant-added HF/H2O2 solution (FPMS), described in Chapter 3, which is used in the room-temperature cleaning. After 40-h immersion, the FPMS solution was found to penetrate into the film through its grain boundary. As the surface tension of the solution drops due to the spiked surfactant, the solution would penetrate even into the minute space. Further, the FPMS solution features high redox potential because of H2O2 addition, and thereby it effectively ionizes metals. Passivation film formed with this technology features sufficiently high corrosion resistance in ordinary industrial applications. In ULSI device manufacturing process, however, even a little corrosion may contaminate the wafer surface. To tackle this problem, a new technology was developed in which fluoridation passivation and fluorocarbon coating are combined so as to further improve the corrosion resistance and cleanliness.
REFERENCES 1. T. Ohmi, Future trends and application of ultra clean technology, Tech. Dig. International Electron Device Meeting, Washington, DC, pp. 49 – 52, 1989. 2. N. Miki, M. Maeno, K. Maruhashi, Y. Nakagawa, and T. Ohmi, Fluorine passivation of metal surface for self-cleaning semiconductor equipment. IEEE Trans. Semicond. Manuf. 3, 1– 11, 1990. 3. M. Maeno, R. Hirayama, H. Izumi, N. Miki, K. Chiba, Y. Mikasa, H. Matsushita, and T. Ohmi, Fluorine passivation of metal alloy surface with volatile reaction enhanced mechanism, J. Electrochem. Soc., 139, 1865– 1869, 1992.
VI. METALLIC SURFACE FLUOROCARBON COATING TECHNOLOGY HIROTO IZUMI
A. INTRODUCTION As described in Section 10.V, a new technology combining metal surface treatment and fluorocarbon coating has been developed in order to overcome the difficulties in using metallic materials for constructing delivery system for electronics-grade high-purity chemicals. Traditionally, PFA was universally used as a chemical-resistant material, but it has a number of problems. For instance,
374
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
PFA allows gas components to permeate through it. Chemical permeation and lack of structural strength are the other problems that must be overcome. The present authors intended to capitalize on advantages of the two technologies: fluoridation passivation and fluorocarbon coating. The metal surface is first treated with fluoridation passivation to suppress corrosion and thereby impede the gas permeation; subsequently, the surface is given a fluorocarbon coating to improve its chemical resistance.
B. FLUOROCARBON CVD ON NiF2 Surface For nickel fluoride passivation film formed on nickel – phosphorus plated film, its catalytic characteristic against mono-silane gas were studied. The inner surface of Ni pipe 60 cm long was coated with Ni – P plated film of about 20 mm thickness. Then, nickelof fluoride passivation film was formed on its surface. While heating this pipe from its external surface, Ar gas containing 100 ppm monosilane gas was introduced into the pipe, and the gases to be decomposed and those to be generated were monitored with gas chromatography and FT-IR spectroscopy. Monosilane gas started to decompose from room temperature as generation of hydrogen gas was detected. When pipe temperature reached 858C, mono-silane gas was completely decomposed. The FT-IR analysis detected SiF4 generation derived from decomposition of NiF2 film as well as monosilane decomposition when the temperature exceeded 858C. It is speculated that mono-silane gas is decomposed at such low temperature range because of the catalytic capability of nickel. Noting this phenomenon, the author studied the possibility to form a carbon CVD film by decomposing cyclopropane gas. Figure 10.55 outlines a carbon CVD system used in the experiment. Fluorine gas and cyclopropane gas were used as the source gases, and nitrogen gas was used as a carrier gas. A sample piece coated with Ni – P plated film was placed in a reaction chamber. The sample was baked at 2508C for 10 h whereas nitrogen gas was being fed. Then, the sample was heated to 3508C and fluoridated with 100% fluorine gas for 6 h. Subsequently, the sample was heated again at 3008C in nitrogen gas for 5 h. At this stage, the sample surface was coated with nickel fluoride film featuring catalytic capability. Then the sample was treated with carbon CVD. For this, the sample was heated to 3508C and exposed to cyclopropane gas diluted with nitrogen gas for several hours. During this step, a carbon CVD film was form on top of nickel fluoride film. In order to grow final fluorocarbon film, the sample was treated with 100% fluorine gas at 1008C for 1 –5 h, then thermally treated in nitrogen ambience at 3008C for 1 h. Performance of the fluorocarbon film grown in this process is considerably determined by thermal treatment following the 6-h fluoridation step and deposition temperature of the carbon CVD film. If thermal treatment following the 6-h fluoridation step is performed at too high temperature or for too long time, structure of nickel fluoride film approaches stoichiometry and its catalytic capability deteriorates. Further, the molecular weight of carbon gets higher when the carbon CVD film is deposited at high temperatures around 3808C. This is considered to occur because of carbon polymerization. Figure 10.56 shows SEM images of carbon CVD film surface. Heater F2
MFC
N2
MFC
Exhaust
sample Reactor
C3H6
MFC
FIGURE 10.55 Carbon CVD system.
Heater
Vacuum Pump
UPW and Gas-Dissolved Water Supply System
375
3µm CVD Temp.:360°C
CVD Temp.:380°C
FIGURE 10.56 SEM images of carbon CVD film surface.
Figure 10.57 shows the corrosion resistance of fluorocarbon film grown by the above fluorocarbon coating technology. Even when it is exposed to the very corrosive 50% HF/H2O solution, the fluorocarbon film is proven to maintain its integrity.
C. ELECTROSTATIC POWDER PAINTING FILM DEPOSITION TECHNOLOGY Electrostatic powder painting is to charge up PFA particles of about 50 mm in size, to spray them onto object surface to get them adsorbed, and then to heat them up to get deposited. The present author applied this technology in high-purity ambience to deposit a high-purity film that could be used for ULSI device manufacturing process. To be more specific, PFA particles adsorbed on the surface were heated in high-purity nitrogen ambience so as to prevent extrinsic contamination. In the present study, this technology was applied to nickel fluoride passivation film grown on nickel – phosphorus plated film its overall resistance to chemicals and corrosion was evaluated. “Megasonic” irradiation was applied to the surface in this study. Figure 10.58 shows a prototype chamber for megasonic irradiation test. The chamber was built with 3-mm-thick stainless steel. Its surface was treated with nickel – phosphorus plating, fluoridation passivation, and PFA coating. In other words, the chamber surface was coated with three layers: nickel –phosphorus plated film, nickel fluoride passivation film, and PFA film. Metal leach-out and particle generation
Before
After
30 µm
Test condition: 50% HF, 5 min, R.T.
FIGURE 10.57 Corrosion resistance test of carbon CVD film.
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
376
Test Chamber φ 130 ± 0.1mm
Cross section
3.0 ± 0.1 mm
60 ± 0.1 mm
PFA
200 µm
NiF2
0.2 µm Ni-P plating 10 µm
R15
R15
Stainless
3.00 ± 0.02 mm
FIGURE 10.58 Megasonic irradiation test chamber.
were tested with this chamber. Figure 10.59 and Figure 10.60 show the test results. In metal leachout test, the chamber was filled with high-purity HF/H2O solution and then megasonic irradiation was applied for 4 h before metallic impurity was measured. Slight increase of iron was detected but its concentration was as low as about 100 ppt. When megasonic irradiation was applied and the solution was not circulated through filter, about 400 particles of 0.1 mm in diameter were detected in the solution of 1 cm3. However, when the solution was circulated through the filter as usually done in ULSI device manufacturing process, several particles of dimension 0.1 mm in diameter were detected in the solution of 1 cm3. The results of metal leach-out test and the particle count test demonstrate that the chamber treated with the three technologies is robust enough to be used in Si-wafer cleaning step. In the past, it was considered totally impossible to use metallic materials in wet process. By applying the three technologies presented here, however, it will become possible to use metallic materials by which high structural strength and low gas permeability can be realized, neither of which were achieved with PFA.
Blank
MS Irradiation 4Hr
Metal Impurities (ppt)
500
MS:0.95MHz 500W
400 300 200 100
FIGURE 10.59 Metal leach-out test results.
Zn
Pb
i N
a N
g M
K
Fe
r
u C
C
a C
Al
0
UPW and Gas-Dissolved Water Supply System
377
FIGURE 10.60 Particle contamination test results.
VII. FUTURE ULTRAPURE WATER MANUFACTURING TECHNOLOGY IKUNORI YOKOI
It is a requirement that the ultrapure water should be the high-purity ultrapure water and the cost of ultrapure water manufacturing should be as long as the semiconductor industry keeps growing up. It is thought that a part of the material of the gate insulation film becomes a metal when the device is miniaturized. As a result, the demand for decrease in contaminant concentrations in ultrapure water becomes stronger. Especially, demands for particles and the carbon contamination level on the wafer surface are severe (Table 10.9). In addition, a stable and steady supply to the point of use (POU) of the high-purity ultrapure water is requested for smooth operation of the ultrapure water system and a build-up of the high-purity ultrapure water quality is requested in the maintenance of the ultrapure water system. Moreover, when the ultrapure water system is newly established and scaled-up a steady build-up of the high-purity ultrapure water quality is requested. Recently, we established the build-up stable supply technology for an ultrapure water system that is different from the current manufacturing technology ultrapure water. This technology consists of the conditioning and cleaning of components, and prevention of water vibration. The conditioning technology for vibration prevention is fundamental to achieving a steady and stable supply to POU of ultrapure water without any decrease in its purity. We developed the ultrapure water system build-up cleaning technology so that the build-up time for the new ultrapure water system could be cut down to one-third that of a conventional ultrapure water system. This development is not complete. Advanced ultrapure water supplying system supplies high-purity ultrapure water to POU immediately after construction.
378
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
TABLE 10.9 Technical Demand for Wafer Cleaning (Quotation from SEAJ) Year Technology node DRAM 1/2 Pitch (nm) Front particle Size (nm) Number (N) GOI front metal level (E þ 10 atoms/cm2) Another front metal level (E þ 10 atoms/cm2) Movable ion level (E þ 10 atoms/cm2) Carbon contamination level (E þ 13 atoms/cm2) Front oxygen level (E þ 13 atoms/cm2) Front roughness (A) Silicon loss (A) Silicon oxide loss (A)
2004 hp90 90 45 75 0.5 1 1.9 1.6 0.1 4 1 1
2007 hp65 65 32.5 80 0.5 1 2.2 1.2 0.1 4 0.5 0.5
2010 hp45 45 22.5 86 0.5 1 2.5 0.90 0.1 2 0.4 0.4
2013 hp32 32 16 195 0.5 1 2.4 0.90 0.1 2 0.4 0.4
2016 hp22 22 11 106 0.5 1 2.3 0.90 0.1 2 0.4 0.4
On the other hand, the reduction in the ultrapure water manufacturing cost can influence not only the price of the semiconductor product but also that of the flat-panel display (FPD). However, water reclamation and material recycle by the ultrapure water manufacturing system cannot contribute to the reduction in the ultrapure water manufacturing cost, because the cost of the recycle system is high. The development of a reformative recycle technique that can contribute to lowering the price of the semiconductor product and the FPD will be needed in the future. Finally, the requirements for the ultimate ultrapure water system are that it should be: . .
A one-way process A water service system of the type used in our day-to-day lives, such that – The valve is opened only when high-purity ultrapure water is needed – High-purity ultrapure water is supplied and used – The valve should close instantaneously when the high-purity ultrapure water is not required
If an ultrapure water system similar to the water service system that we are using in daily life today can be established, the ultrapure water manufacturing cost can be reduced. However, ultrapure water cannot be supplied to POU using existing technology. This is because ultrapure water quality cannot be maintained even if ultrapure water is supplied to POU. Therefore, ultrapure water is always circulated to maintain the ultrapure water quality. Reformative technological development is necessary to establish an ultimate ultrapure water system in the future.
Index A Adsorption mechanisms, solutions, metallic, 41 Advanced ultrapure water, in liquid chemical supply system, 331– 378 AFM calibration, standardization of, 247– 250 Al2O3 ozone-dissolved water resistance experiments, 363– 366 Al2O3 passivated film formation experiments with, 358– 362 XRD pattern, 363 Al2O3 passivation technology austenitic stainless steel, 358– 366 gas-dissolved water supply system, 357– 366 ozone-dissolved water resistance, 363– 366 Alkali solution, metallic contamination, 43– 44 prevention of, 48 Anhydrous-HF gas BPSG, selective etching, 224 SiO2 etchings, 223– 230 Anodic reaction control of, 266– 269 discussion of, 269– 270 ELTRAN, 264– 266 porous silicon, 266– 268 silicon etching, 263 SOI wafer production, 264– 266 Antistatic technology, 293– 314 electrification mechanisms, 294– 307 electrostatic charge measurement technique, 307 – 314 static electricity control, 307– 308 surface electrostatic charge measurement technique, 307– 314 ULSI process, 307– 308 Austenitic stainless steel Al2O3 passivation technology and, 358– 366 ozone using process, 357– 366
B Back end of line (BEOL) total room-temperature cleaning process, 107 – 108 ULSI manufacturing process, 57 Balanced push pull nozzle (BPPN), 131– 144 cleaning capacity, 144–150 cleaning power evaluation, 144– 147
concept of, 131 – 135 optimized, 143 – 144 shower vs. point type nozzles, 147 –148 ultrasonic cleaning, 126 – 131 ultrasonic oscillator section, 135 – 143 wet cleaning technology, 125 – 150 BEOL (back end of line), 107 – 108 BHF composition control etching, glass substrate, 232 – 233 wet cleaning process, 278 – 281 wet process parameters, 280 Bond dissociation energy homolysis, 4 hydrogen halides, 1 – 4 BPSG film hydrogen fluoride etching, 172 –177 perfectly selective etching, 179 – 182 selective etching, 175 anhydrous-HF gas, SiO2 etchings, 223 – 230 gas-isolated-interconnections fabrication, 225 – 230 silicon-on-insulator, 223 –225 SiO2 etching, 172 – 177 SiO2 film, nonselective etching, 177 – 179 thermal etching rate, 176 thermal SiO2 film, HF-IPA/HF-EG, 183 Buffered hydrofluoric acid, 206 ion calculated concentration, 165 – 166 particle reduction, 205 – 207 single-w processing, 192 – 199 SiO2 etch rate, 160 surfactant function requirements, 204 – 213 Buffered hydrofluoric acid (BHA) etching, 159 Build-up time technology, ultrapure water, 342 – 343, 344
C Calcite method, HF waste reclamation, 322 – 330 Calibration curve preparation, FTIR-ATR, 121 – 123 Cations solubility, glass substrate, 235 Chelating agent technologies metal cross contamination, 112 wet cleaning process, 110 – 118 Chemical composition evaporation, time dependence, 279, 281 standing time, cleaning solution control, 274
379
380
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Chemical composition control technology, 271 – 282 Chemical reactions, ultrapure water, 71– 73 Chemical species consumption, etchant composition change, 276– 278 Chemical waste reclamation technology, 315 – 330 HF waste, 321– 330 tetramethylammonium hydroxide, 315– 321 Cleaning for contaminant prevention, 39– 40 of metallic contamination, 48– 50 of trace contaminants, 35– 57 Cleaning capacity balanced push pull nozzles, 144– 150 ultrasonic cleaning equipment, best uses of, 148 – 150 Cleaning efficiency, trace contaminants, 55– 56 Cleaning issues, ULSI manufacturing process, 56– 67 Cleaning mechanism, trace contaminants cleaning efficiency, 55– 56 organic contamination, trace contaminants, 54 particulate contamination, 50– 54 Cleaning mechanism, wet cleaning process, 111 – 112 Cleaning power evaluation, balanced push pull nozzles, 144– 147 Cleaning sequence, trace contaminants, 55– 56 Cleaning solution control, BHF, 272 chemical composition, 274 etchant components, decomposition of, 274– 275 high-temperature wet process, 273– 274 SiO2 etching, 200– 213 thermal SiO2 film, 275 wet cleaning process, 272– 275 Cleaning trace contaminants, essential functions, 37 –38 Cleanroom ambience, 123– 124 Composition rate, buffered hydrofluoric acid, 160 Contact cleaning current methods, 186– 188 MOSFET, 185– 186 SiO2, etching, 185– 192 Contact-frictional electrification, 294– 296 Contact hole cleaning chemical composition, 188– 191 optimum chemical composition, 188– 191 Contaminant prevention, metallic, 39– 40 Contaminant redeposition, on new material surface, 116 – 117 Content volume change-free valve, 355 – 357 Corrosion inhibiter, on new material surface, 117
Crystallization method, HF waste reclamation, 326 – 330 Current contact hole cleaning, 186 – 188 Current wet cleaning, 36 – 37 RCA cleaning method, 36 –37 CVD-SiNx thin films, 260
D Deaeration, water vapor sweep, 78 Decomposition, cleaning solution control of, 274 – 275 Desorption, for metallic contamination, 38 – 39 Destaticization capability, electrostatic charge measurement, 311 –314 Developer waste reclamation system, 316 – 318 analytical results, 319 commercial applications, 321 experiments with, 318 –320 Developer waste, tetramethylammonium hydroxide, 315 – 321 Device pattern damage, surfactant technologies and, 112 – 114 Dielectric constant solvent, SiO2 etching and, 177 – 182 Dielectric relaxation, electrostatic charge measurement and, 310 – 311 Dissociation state, in SiO2 etching, 156 Dissolved gas, H2-UPW cleaning, 75 Dissolved gases control, Henry’s law, 76 – 78 Dissolved hydrogen concentration, particle removal efficiency, 90 – 91
E Electrification mechanisms antistatic technology, 294 – 307 contact-frictional electrification, 294 – 296 friction peeling, 296 induction electrification, 296 – 297 main considerations, 294 peeling electrification, 296 spray electrification, 297 Electrochemical adsorption metallic contamination prevention, 45 – 48 noble metals, 40 –44 Electroless-nickel – phosphor-plating film, 370 – 372 Electrolytic cathode water, H2-UPW cleaning, 75 Electronegativity, surface chemical electronics, 9 – 13
Index Electrostatic charge measurement technique antistatic technology, 307– 314 applications of, 308– 314 IPA, destaticization capability, 311– 314 parallel-plate capacitor, 309– 310 PFA surface, 308– 309 polymer materials, 310– 311 principle of, 308 Electrostatic countermeasure, spray electrification, 303– 307 Electrostatic powder painting film deposition technology, ultrapure water, 375 –376 Element technology build-up time, 342– 343, 344 piping application technology, 341– 342 ultrapure water, 341– 344 water vibration preventing technology, 343 – 344 ELTRAN, anodic reaction, 264– 266 End groups, surface energy, 203 Epitaxial silicon, resistivity of, 220 Etch rate cleaning solution control, 275 composition rate, of buffered hydrofluoric acid, 160 etching reaction products, 171– 172 glass substrate, 233– 235 SiO2 film, 165– 166 standing time, 275 thermal SiO2 film, 165– 166 Etchant Experiments with, 253 HF:HIO3-type, 255– 262 HF:HNO3:CH3COOH-type, 253– 255 Etchant components, decomposition, 274– 275 Etchant composition change chemical species consumption, 276– 278 evaporation, humidity, temperature, 275– 276 wet cleaning process, 275– 278 Etching buffered hydrofluoric acid, SiO2, 159 cleaning solutions, SiO2 etching, 200– 213 glass substrate, 232– 233 hydrofluoric acid, dissociation state, 156 hydrogen fluoride, nondissociated, 172– 177 oxides, 160–172 selective/nonselective, 159– 184 SiO2 film, 160– 162 surface microroughness, on glass substrate, 235 – 237 Etching mechanism, SiO2 etching, 172– 177 Etching rate, BPSG film, 176 Etching reaction, HF gas etching, 215– 216 Etching reaction products, 171– 172 Etching reaction study, 239– 246
381 Etching selectivity BPSG film, 183 surfactant-added buffered hydrofluoric acid, 210 – 211 Evaporation humidity and temperature, 275 – 276 time dependence, 279, 281 Extremely low etch rate AFM calibration, 238 – 250 etching reaction study, 239 – 246
F F2, free energy exchange, 155 F2 ion, SiO2 etching, 162 – 163 Fine particle elimination mechanism, 127 – 131 device pattern damage, 112 – 114 Fluctuation-free facility, advanced ultrapure water, 331 – 378 Fluoridation passivation technology electroless-nickel – phosphor-plating film, 370 – 372 nickel – fluoride film, 372 – 373 ultrapure water, 370 –373 Fluorocarbon CVD, in metallic surface fluorocarbon coating technology, 374 – 375 Foam generation suppression, surfactant-added buffered hydrofluoric acid, 212 – 213 Fourier transform infrared spectroscopy attenuated total reflection. See FTIR-ATR, Free energy exchange, 155 Friction, peeling, 296 Front end of the line (FEOL), ULSI manufacturing process, 56 – 57 FT-IR, HF2 2 concentration, 165 – 166 FTIR-ATR calibration calibration curve preparation, 121 – 123 Langmuir – Blodgett technique, 119 – 121 organic compound adsorption, 123 – 124 wet cleaning technology, 119 – 125 Functional water, wet cleaning process, 74 –75
G Gas permeability ultrapure water, 366 –369 wet vapor resist stripping technology, 288 Gas permeating membrane, 76 Gas-dissolved functional water, purity of, 101 – 102 Gas-dissolved ultrapure water supply technology, 338 – 340 Al2O3 passivation technology, 357 – 366 electrostatic powder painting film deposition technology, 375 – 376
382
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Gas-dissolved ultrapure. . ., (Continued) element technology, 341– 344 fluctuation-free facility, 332– 350 fluoridation passivation technology, 370– 373 future manufacturing technology, 377– 378 metallic surface fluorocarbon coating technology, 373–376 pH-controlled hydrogenated, 340 pH-controlled ozonated, 339 plastic materials, 366– 369 water hammer-free system, 351– 357 Gas-isolated-interconnections fabrication, 225 – 230 Gaseous-phase selective etching native oxide, 213– 223 silicon oxide films, 216– 217 Glass substrate cations solubility, 235 etch rate, 233– 235 surface microroughness, 235– 237 uniform etching, 231– 238
H H2-UPW applications, 100 gas-dissolving, 75 particle removal mechanism, 95– 99 total room-temperature cleaning, 90– 101 wet cleaning, 90– 101 H2-UPW cleaning APM cleaning, 91– 92 dissolved hydrogen concentration, 90– 91 gas permeating membrane, 76 Henry’s law, 76–78 megasonic cleaning, 75 particle removal, 75 water surface microroughness, 92– 94 wet cleaning process, 75 Henry’s law, H2-UPW cleaning, dissolved gases control, 76– 78 HF critical concentration, SiO2 films, 218 HF dissociation, SiO2 etching, 195– 199 HF gas etching apparatus, 214 etching reaction, 215– 216 gaseous-phase selective etching, 213– 223 Si surface evaluation, 218– 220 SiO2 etching, 213– 230 terminating fluorine, removal of, 220– 222 HF waste reclamation calcite method, 322– 330 chemical waste reclamation technology, 321 – 330 crystallization method, 326– 330
HF:HIO3-type etchant, 255 – 262 plasma CVD-SiNx thin films, 260 HF:HNO3:CH3COOH-type etchant, 253 – 255 HF2 2 concentration, 165 – 166 HF2 2 ion, SiO2 etching, 163 –170 HF þ O3-UPW, total room-temperature 5-step cleaning process, 104 – 105 HF-EG, etching selectivity, BPSG film, 183 HF—H2O2 composition control, 281 HF-IPA/HF-EG, etching selectivity, 183 High temperature wet process, 273 – 274 Homolysis, ionization potential, 4 Humidity, etchant composition change and, 275 – 276 Hydrofluoric acid, dissociation state, 156 Hydrogen atomic model, bond dissociation energy, 1 – 4 Hydrogen fluoride (HF) free energy exchange, 155 nondissociated BPSG film etching, 172 – 177 reaction with SiO2, 156 – 158 SiO2, 155 Hydrogen halides, hydrogen atomic model, 1 – 4 Hydrogen termination, O2-free wafer cleaning technology, 82 – 86 Hydrogenated water, in water vibration-controlled cooling water system, 345 – 348 Hydrophobic surface, wettability, 118
I Induction electrification, 296 – 297 Ion calculated concentration, buffered hydrofluoric acid, 165 – 166 Ionization, redox potential, 39 Ionization potential, bond dissociation energy, 4 IPA, destaticization capability of, 311 – 314
L Langmuir – Blodgett technique, FTIR-ATR, 119 – 121 Large scale integration (LSI), 223 Liquid chemical supply system, fluctuation-free facility, 331 – 378
M Material corrosion, prevention, 116 – 117 Megasonic cleaning, dissolved gas, 75 Megasonic irradiation (MS), ultra pure water effect in, 71 – 74 Metal adsorption, alkali solution, 43 – 44
Index Metal contamination adsorption mechanisms, 41 alkali solution, 43– 44, 48 chelating agent technologies, 112 cleaning, as contaminant prevention, 39–40 desorption, 38– 39 electrochemical adsorption, of noble metals, 40 – 44 ionization, redox potential, 39 noble metals, 45– 48 surface deposit prevention, 44– 48 trace contaminants, cleaning, 48– 50 Metallic surface fluorocarbon coating technology fluorocarbon CVD, NiF2 surface, 374– 375 gas-dissolved water supply system, 373 – 376 Microroughness, silicon surface, 79– 82 Microroughness suppression, surfactant-added buffered hydrofluoric acid, 210 Minute space penetration, 211– 212 Molecular bonds, semiconductor surfaces, 1 – 4 MOSFET contact cleaning, 185–186
N Native oxide HF gas etching, 213– 223 New material surface cleaning contaminant redeposition, 116– 117 hydrophobic surface, wettability issues, 118 issues, 115– 118 material corrosion, prevention of, 116– 117 surfactant, as corrosion inhibiter, 117 wet cleaning process, 115– 118 NiF2 film, for fluoridation passivation, 372– 373 NiF2 surface, metallic surface fluorocarbon coating technology, 374– 375 Noble metals, metallic contamination electrochemical adsorption, 40– 44 prevention, 45– 48 Nondissociated, BPSG film etching, 172– 177 Nonselective etching, 177– 179
O O2-free wafer cleaning technology microroughness, 79– 82 silicon surface, hydrogen termination, 82– 86 wet cleaning process, 79– 86 O3-UPW cleaning efficiency, 87– 89 total room-temperature cleaning processes, 86 – 89 wet cleaning process, 86– 89
383 Optimized balanced push pull nozzle, 143 – 144 Organic compound adsorption, 123 – 124 Organic contamination, trace contaminants, 54 Organic residue elimination, surfactant-added buffered hydrofluoric acid, 209 Oxidation, semiconductor surface, 15 –16 Oxides, etching, 160 – 172 Ozone-using process, Al2O3 passivation technology, 357 – 366
P Parallel-plate capacitor, for electrostatic charge measurement, 309 – 310 Particle adhesion suppression, surfactant-added buffered hydrofluoric acid, 207 – 209 Particle reduction buffered hydrofluoric acid, 205 – 207 surfactant-added buffered hydrofluoric acid, 205 – 207 Particle removal efficiency H2-UPW, 90 – 91 RCA cleaning process, improving of, 68 – 69 Particle removal mechanism, H2-UPW, 95 – 99 Particulate contamination particulate deposition mechanism, 50 – 52 prevention, 51 – 52 removal, 52 – 54 trace contaminants, 50 – 54 Particulate deposition mechanism, 50 – 52 Particulate deposition prevention, 51 –52 Peeling electrification, 296 Perfectly selective etching, BPSG film, 179 – 182 PFA surface, for electrostatic charge measurement, 308 – 309 pH-controlled hydrogenated ultrapure water, wafer cleaning, 339 –340 Piping application technology, ultrapure water, 341 – 342 Plastic materials, gas permeability, ultrapure water, 366 – 369 Point type nozzles, performance of, 147 – 148 Polymer materials, dielectric relaxation, 310 – 311 Porous silicon, surface morphology, 266 – 268 Pretreatment system, ultrapure water supply technology, 334 – 335 Primary pure water, ultrapure water supply technology, 335 – 336
R Radial-reaction-based semiconductors, 23 – 31 Radical formation, ultra pure water, 73 – 74
384
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
RCA cleaning method current status, 64– 65 current wet cleaning methods, 36– 37 improvement of, 64– 71 particle removal efficiency, 68– 69 surface microroughness, 65– 71 thin oxide electrical characteristics, 69– 70 ULSI wet-cleaning technology, 36 ultrapure water, 65 wet cleaning process, 64– 71 wet-cleaning sequence, adverse affects, 36 Reclaimed developer, analytical results, 319 Redox potential, Si surface, 18– 23 Removal, particulate contamination, 52– 54 Resist removal mechanism, wet vapor resist stripping technology, 287– 291
S Selective etching, 223– 230 gas-isolated-interconnections fabrication, 225 – 230 silicon-on-insulator, 223– 225 Selective vs. nonselective SiO2, etching, 159 – 184 Semiconductor device wet cleaning, 35– 57 Semiconductor surface electronegativity, 9 – 13 hydrogen atomic model, 1 – 4 radical-reaction-based semiconductors, 23 –31 Si surface oxidation, 15– 16 SiH4-type molecule, 4 – 9 surface chemical electronics, 1 – 32 W film deposition, 17– 18 Shower type nozzles, 147– 148 Si surface cleanroom ambience and, 123– 124 organic residue elimination, 209 oxidation, semiconductor surface, 15– 16 redox potential, 18– 23 SiH4-type molecule, 4 – 9 Si surface evaluation, in HF gas etching, 218– 220 Si surface wettability, resist surface, 204– 205 Si technology, radical-reaction-based semiconductors, 23– 31 Si wafer surface, microroughness suppression, 210 Si wafer surface, particle adhesion suppression, 207 – 209 SiH4-type molecule, semiconductor surface, 4 – 9 Silicon etching, 251– 270 anodic reaction, 263 features, 253 mechanism of, 252–253 Silicon oxide films, HF critical concentration, 218
Silicon-on-insulator (SOI), 223 selective etching, 223 –225 Silicon surface hydrogen termination, 82 – 86 O2-free wafer cleaning technology, 79 – 82 Single-w processing, 192 – 199 wet cleaning process, 115 SiO2 etch rate, 160, 176 SiO2 etching, 153 –250 BPSG film, 172 – 177, 223 – 230 buffered hydrofluoric acid, 159, 192 –199 contact cleaning, 185 – 192 dielectric constant solvent, 177 – 182 extremely low etch rate, AFM calibration, 238 – 250 F2 ion, 162 – 163 glass substrate, uniform etching, 231 – 238 HF dissociation, 195 – 199 HF gas etching, 155 – 156, 215 – 216 HF2 2 ion, 163 – 170 selective vs. nonselective, 159 – 184 surfactant function requirements, 200 – 213 SiO2 film nonselective etching, 177 – 179 Si surface evaluation, 218 – 220 thermal etch rate, 165 – 166 SOI wafer production, anodic reaction, 264 –266 Solutions particulate contamination, 50 – 52 metallic contaminations, 41 Specific gas dissolved functional water, 74 – 78 Spray electrification adverse effects, 298 – 301 countermeasures, 297 – 307 electrification mechanisms, 297 electrostatic countermeasure, 303 – 307 evaluation of, 301 – 303 generation processes, 297 –298 Standing time, cleaning solution control chemical composition, 274 thermal SiO2 film, etch rate, 275 Static electricity control, ULSI process, 307 –308 STM and AFM calibration, 247 Surface chemical electronics, 1 – 4 electronegativity, 9 –13 semiconductor surfaces, 1 – 32 Surface deposit prevention, 44 – 48 Surface electrostatic charge measurement technique, 307 – 314 Surface energy, surfactant function requirements, 203 Surface microroughness glass substrate etching, 235 – 237 RCA cleaning process, improving, 65 – 71 thin oxide electrical characteristics, 69 – 70
Index Surface morphology, 266– 268 Surfactant, corrosion inhibiter, 117 Surfactant-added buffered hydrofluoric acid etching selectivity, 210– 211 foam generation suppression, 212– 213 microroughness suppression, 210 minute space penetration, 211– 212 organic residue elimination, 209 particle adhesion suppression, 207– 209 particle reduction, 205– 207 Si surface wettability, 204– 205 surfactant function requirements, 204– 213 Surfactant decomposition, total room-temperature 5-step cleaning process, 1 – 6 Surfactant function requirements buffered hydrofluoric acid, 204– 213 chelating agent technologies, 110– 118 conditions, 200–204 end groups, 203 etching and, 200– 213 fine particle removal, 112– 114 surfactant-added buffered hydrofluoric acid, 204 – 213
T Temperature, etchant composition change, 275–276 Terminating fluorine, removal of, 220– 222 Tetramethylammonium hydroxide (TMAH), 315 – 321. See developer waste. Thermal SiO2 film cleaning solution control, 272 etch rate, 165–166 etching rate, 176 HF-IPA/HF-EG etching selectivity, 183 standing time, 275 Total room-temperature cleaning process, 86 – 102 back end of line, 107– 108 H2-UPW, 90– 101 O3-UPW, 86–89 wet cleaning process, 86– 102 See also Total room-temperature 4-step cleaning process; Total room-temperature 5-step cleaning process. Total room-temperature 4-step cleaning process, 101 gas-dissolved functional water, purity of, 101 – 102 Total room-temperature 5-step cleaning process, 102 – 107 design of, 102 HF þ O3-UPW, 104– 105 surfactant decomposition, 106
385 Trace contaminants, cleaning mechanisms, 35 – 57 cleaning efficiency, 55 – 56 cleaning issues, 55 –56 cleaning sequence, 55 – 56 essential functions, 37 – 38 metallic contamination, 48 – 50 organic contamination, 54 particulate contamination, 50 – 54 Transportation piping system, ultrapure water supply technology, 337
U ULSI device density, 110 – 111 ULSI manufacturing process antistatic technology, 307 – 308 back end of the line, 57 cleaning process, 56 – 57 front end of the line, 56 – 57 Ultra pure water (UPW) megasonic, radical formation, 73 –74 RCA cleaning process, 65 wet cleaning process, 71 – 74 Ultrapure water, element technology build-up time technology, 342 –343, 344 piping application technology, 341 – 342 water vibration preventing technology, 343 – 344 Ultrapure water, gas-dissolved technology, 341 – 344 Ultrapure water, gas-dissolved water supply system, 357 –366 electrostatic powder painting film deposition technology, 375 – 376 fluctuation-free facility, 332 –350 fluoridation passivation technology, 370 – 373 future manufacturing technology, 377 – 378 metallic surface fluorocarbon coating technology, 373 – 376 plastic materials, gas permeability, 366 – 369 water hammer-free system, 351 – 357 Ultrapure water, quality requirements, 334, 335 Ultrapure water supply technology gas-dissolved, 338 – 340 pretreatment system, 334 – 335 primary pure water, 335 – 336 transportation piping system, 337 ultrapure water system, 336 – 337 waste water reclamation technology, 333 – 338 Ultrasonic cleaning equipment balanced push pull nozzle, 126 – 131 best uses, 148 – 150 fine particle elimination mechanism, 127 – 131 Ultrasonic cleaning, necessity of, 126 – 127
386
Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing
Ultrasonic oscillator section, balanced push pull nozzle, 135– 143 Uniform etching, 231–238 USLI wet-cleaning technology, RCA cleaning method, 36
V Vibration-sensing water hammer-free system, 354 – 355
W W film deposition, semiconductor surface, 17– 18 Wafer cleaning, gas-dissolved ultrapure water supply technology, 339– 340 Waste water reclamation technology pretreatment system, 334– 335 primary pure water, 335– 336 transportation piping system, 337 ultrapure water supply technology, 333– 338 ultrapure water system, 336– 337 Water hammer prevention technology, 352– 354 Water hammer-free system content volume change-free valve, 355– 357 gas-dissolved water supply system, 351– 357 prevention technology, 352– 354 vibration-sensing, 354–355 Water surface microroughness, H2-UPW, 92–94 Water vibration preventing technology hydrogenated water, 345– 348 ultrapure water, 343– 344 water vibration-controlled cooling water system, 345 – 348 Wet cleaning, 90– 101
Wet cleaning processes BHF composition control, 278 –281 chemical composition control technology, 271 – 282 cleaning mechanism, 111 – 112 cleaning solution control, 272 – 275 deaeration, 78 etchant composition change, 275 – 278 functional water, 74 –75 H2-UPW cleaning, 75 HF—H2O2 composition control, 281 megasonic irradiation effects, 71 – 74 new material surface cleaning, 115 – 118 O2-free wafer cleaning technology, 79 – 86 O3-UPW, 86 – 89 RCA cleaning process, 64 – 71 role of, 64 single-wafer processing, 115 specific gas-dissolved functional water, 74 – 78 surfactant technologies, 110 – 118 total room-temperature cleaning, 86 – 102 ULSI device density, 110 – 111 Wet cleaning technology, 61 – 150 balanced push pull nozzle, 125 – 150 FTIR-ATR calibration technique, 119 – 125 Wet process parameters, 280 Wet vapor resist stripping technology, 285 – 291 resist removal mechanism, 287 – 291 Teflon permeability, 288 Wet-cleaning sequence, adverse effects, 36
X XRD pattern, Al2O3 passivation film, 363