SEMICONDUCTOR SAFETY HANDBOOK Safety and Health in the Semiconductor Industry
Edited by
Richard A. Bolmen, Jr. Aon Ris...
257 downloads
2629 Views
8MB Size
Report
This content was uploaded by our users and we assume good faith they have the permission to share this book. If you own the copyright to this book and it is wrongfully on our website, we offer a simple DMCA procedure to remove your content from our site. Start by pressing the button below!
Report copyright / DMCA form
SEMICONDUCTOR SAFETY HANDBOOK Safety and Health in the Semiconductor Industry
Edited by
Richard A. Bolmen, Jr. Aon Risk Services San Francisco, California
np
NOYES PUBLICATIONS Westwood, New Jersey, U.S.A.
Copyright © 1998 by Noyes Publications No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording or by any information storage and retrieval system, without permission in writing from the Publisher. Library of Congress Catalog Card Number: 97-24032 ISBN: 0-8155-1418-2 Printed in the United States Published in the United States of America by Noyes Publications 369 Fairview Avenue, Westwood, New Jersey 07675 10 9 8 7 6 5 4 3 2 1
Library of Congress Cataloging-in-Publication Data Semiconductor safety handbook : safety and health in the semiconductor industry / edited by Richard A. Bolmen, Jr. p. cm. Includes bibliographical references and index. ISBN 0-8155-1418-2 1. Semiconductor industry--United States--Safety measures. 2. Integrated circuits--Design and construction--Safety measures. I. Bolmen, Richard A. TK7836.S463 1997 636.11' 96213815--dc21 97-24032 CIP
About the Editor Richard A. Bolmen, Jr. is presently with Aon Risk Services. Prior to that, he was Senior Vice President of Minet Risk Services, Palo Alto, California, responsible for the development and delivery of Minet’s Workers' Compensation, Disability Management and Hazards Management consulting services nationwide. He was also with American RiskConsultants and Marsh & McLennan Protection Consultants. He has over a decade of experience developing and managing safety, environmental workers' compensation and risk management programs for semiconductor manufacturing companies. Mr. Bolmen has served as the Northern California Regional Director and was on the Board of Directors for the Semiconductor Safety Association. He also served as the Division Safety Director for Semiconductor Equipment and Materials International from 1986 to 1989.
NOYES PUBLICATIONS Westwood, New Jersey
SEMICONDUCTOR SAFETY SERIES Series Editor: Richard A. Bolmen, Jr. CODE COMPLIANCE FOR ADVANCED TECHNOLOGY FACILITIES: by William R. Acorn SEMICONDUCTOR INDUSTRIAL HYGIENE HANDBOOK: by Michael E. Williams and David G. Baldwin, and Paul C. Manz SEMICONDUCTOR SAFETY HANDBOOK: edited by Richard A. Bolmen, Jr.
v
Contributors
William R. Acorn Acorn Engineering and Consulting Tucson, AZ
Thomas E. Hawkinson Rust Environmental & Infrastructure Minneapolis, MN
David G. Baldwin Hewlett-Packard Company Palo Alto, CA
Daryl B. Korpela Northwest Airlines, Inc. St. Paul, MN
Richard A. Bolmen, Jr. Aon Risk Services San Francisco, CA
Robert G. Kuykendall SRI Environmental Engineers & Consultants Concord, CA
Richard P. Brookman Ceco Group Conshohocken, PA Lisa Brooks AT&T Bell Laboratories Murray Hill, NJ Rollin C. Chew Apple Computer, Inc. Cupertino, CA
Donald V. Lassiter Consultant Environmental/Occupational Health San Jose, CA Paul C. Manz Consultant Matawan, NJ
xii
Contributors Clifford E. Oliver Los Alamos National Laboratory Los Alamos, NM Robert J. Pearce Industrial Risk Insurers San Francisco, CA David Rainer Environmental Health & Safety Center North Carolina State University Raleigh, NC
xiii
James H. Stewart Environment, Health & Safety Harvard University Cambridge, MA Bruce Tibbott Matheson Semiconductor Systems & Materials Group East Rutherford, NJ Michael E. Williams Apple Computer, Inc. Cupertino, CA
NOTICE To the best of our knowledge the information in this publication is accurate; however the Publisher does not assume any responsibility or liability for the accuracy or completeness of, or consequences arising from, such information. This book is intended for informational purposes only. Mention of trade names or commercial products does not constitute endorsement or recommendation for use by the Publisher. Final determination of the suitability of any information or product for use contemplated by any user, and the manner of that use, is the sole responsibility of the user. We recommend that anyone intending to rely on any recommendation of materials or procedures mentioned in this publication should satisfy himself as to such suitability, and that he can meet all applicable safety and health standards.
Preface
It is hard to imagine that less than fifteen years ago building and fire codes specific to the construction of a wafer fabrication facility were just in the process of being developed. Detection and evaluation of leaking underground storage tanks and epidemiological health studies aimed at qualifying and quantifying our “cleanroom” image were in their infancy stages and CalOSHA had just completed the first in-depth study of the industry’s chemical processes and associated industrial hygiene exposures. From a technology perspective, 64 k RAM chips were the hot item for a newly developing computer game market and the 8088 microprocessor provided previously unavailable information processing and storage capabilities at an affordable price. “Silicon Valley,” stretching from Palo Alto to South San Jose, still retained much of its agricultural base and we were always amazed at the beauty and contrast of blooming mustard fields and plum orchards adjacent to wafer fabs, deionized water plants, and chemical storage areas. SEMI was developing a Safety Division, and the Semiconductor Safety Association’s (SSA) annual conference was in its third year attended by a handful of health and safety professionals. Fifteen years later, “Silicon Valley,” as we have known it, now exists in cities like Austin, Phoenix and Boston. Megafabs with property values exceeding $1 billion have become commonplace. We think in terms of gigabytes of hard disk storage for our home computers and the Internet has become a way of life. The Pentium is becoming passé as the next generation of microprocessor looms on the horizon and submicron technology continues to push the envelop of our processing capabilities.
vi
Preface
vii
However great our technological advances have been over this period of time, they have not been exclusive to semiconductors and related high technology products. Interwoven within our semiconductor technology development has been the development of technologies aimed at identifying, evaluating and mitigating the environmental, health and safety (EH&S) risks and exposures associated with the manufacturing and packaging of integrated circuits. Driving and advancing these technologies have been international efforts by SEMI’s Safety Division, the Semiconductor Safety Association (SSA), and the Semiconductor Industry Association (SIA). The purpose of the Semiconductor Safety Handbook is to provide a current, single source reference for many of the primary semiconductor EH&S technologies and disciplines. To this end, we have assembled a comprehensive text written by some of the leading experts in EH&S in the semiconductor industry. This text has taken three years to complete and has involved tremendous effort and commitment by the text’s authors. We have attempted to construct a reference manual that is comprehensive in its coverage of the technical aspects of each individual subject, while at the same time addressing practical applications of each topic. The scope of this text, from its inception, was intended to address significantly more than what would typically be classified under the definition of “safety.” However, we felt that all of the chapters have a direct application to the protection and preservation of semiconductor employees, the surrounding communities and the environment. As such, “The Semiconductor Safety Handbook - Safety and Health in the Semiconductor Industry” seemed an appropriate title. The Semiconductor Safety Handbook opens with Chapter 1, “Injury and Illness of Semiconductor Workers: Experience and Epidemiological Studies,” by Donald Lassiter and James Stewart. Donald Lassiter has been a key figure in the development and maintenance of the semiconductor industry’s Occupational Health System (OHS) injury and illness data base. Development of the OHS system was sponsored by SIA in the early 1980’s and OHS has become the leading occupational illness and injury tracking database for the industry. The OHS system has been in place since 1983 and has participation from approximately one-quarter to one-third of the US semiconductor industry. Data from the OHS system as well as annual incidence rates for OSHA-recordable work injuries and illnesses are presented and compared for the time period 1983–1995. The co-author of this chapter, James Stewart, provides a comprehensive review of epidemiological health studies that have been conducted for the semiconductor industry.
viii
Preface
This overview chronicles initial health studies, beginning with the University of Massachusetts reproductive study for Digital Equipment Corporation in 1984, through the recently published University of California, Davis, Semiconductor Health Study, a multi-disciplinary investigation which targeted reproductive and other health outcomes in the semiconductor industry. In Chapter 2, “Environmental Compliance in the Semiconductor Industry: Detection, Correction and Prevention,” we change gears from protection of employees to protection of the environment. Local, state and federal environmental regulations have increased exponentially over the past decade in response to the plethora of hazardous chemicals used, stored, treated and disposed of (as hazardous waste) in US manufacturing. Few US industries have experienced the impact of the combined environmental regulatory and control technology requirements as the semiconductor industry. Our authors, Robert Kuykendall and Rollin Chew collectively have over fifty years experience in environmental technology and compliance and present one of the most comprehensive reviews of environmental regulation, control and mitigation technologies written on the semiconductor industry. Key to the understanding of environmental, health and safety issues in the semiconductor industry is an understanding of the chemicals used in the manufacture and packaging of semiconductors and semiconductor related technologies. Chapter 3, “Chemical Hazards in Semiconductor Operations,” co-authored by Tom Hawkinson and Daryl Korpela offers an overview of the types of chemicals used in the semiconductor industry as well as the related processes. The chemical hazards of semiconductor manufacturing processes as well as the assessment, monitoring and control of these hazards are given an in-depth treatment by two of the most senior members of the industry’s EH&S community: Michael Williams and David Baldwin. Involved with semiconductor safety and industrial hygiene from the time these issues were first recognized within the industry, they provide invaluable insight to both the science and practical application of industrial hygiene principles and practices in Chapter 4, “Industrial Hygiene.” While the majority of our efforts, as a health and safety community within the semiconductor industry, have been aimed at the control of hazardous materials, some of our most significant safety exposures continue to be those associated with electrical hazards. Historically, electrical accidents have been the leading cause of serious injuries and fatalities in the semiconductor industry. Ironically, electrical safety is an area where we have the least amount of technical and practical expertise available to us as an
Preface
ix
industry. This is what makes Clifford Oliver’s Chapter 5, “Electrical Hazards,” a key reference. Clifford’s two decades of experience in electrical safety combined with his extensive knowledge of semiconductor processing and equipment, offer a unique perspective and valuable insight into this pervasive hazard. Chapter 6, “Radiation Safety,” again teams up co-authors David Baldwin and Michael Williams. In this chapter, we are offered a comprehensive review of the various classifications and associated hazards of radiation as well as potential sources and specific radiation exposures in a cleanroom environment. Included in this chapter are industrial hygiene identification, monitoring and control practices specific to semiconductor processes and equipment. David Rainer and Lisa Brooks, co-authors of Chapter 7, “Recognition, Evaluation and Control of Some Plasma Processing Hazards,” provide an overview of the plasma process as well as the various physical and chemical hazards associated with plasma processing. As megafabs with building values exceeding $1 billion have become commonplace, so has the emphasis on protecting those facilities and their business viability from damage and business interruption as a result of a fire or smoke contamination of a Class I wafer fab. Integral to these efforts has been fire and property protection standards and compliance efforts of the major property insurers. To address these exposures, Robert Pearce has authored Chapter 8, “Fire Protection Technology for Semiconductor Operations.” This chapter begins with the history of fire protection in the semiconductor industry. The chronology discusses the time period when there were no specific building and fire codes for semiconductor fabs other than those written by major property insurers. The evolution and development of Highly Protective Risk (HPR) standards, specific to semiconductor operations, are also discussed in addition to present day property and fire protection issues for large Class I wafer fabrication facilities. This chapter is unique in its breadth and scope and offers fire and property protection methodologies and scenarios from the micro to the macro. Issues from site selection for a wafer fab to protection of individual pieces of processing equipment are addressed by one of the industry’s most experienced property protection practitioners. The construction of a wafer fabrication facility involves numerous complex building and fire codes. The application and enforcement of these codes, by multiple building and fire code officials, with varying levels of understanding and expertise, add an additional level of complexity in the
x
Preface
construction process. The primary objective of the various codes are to ensure the safety of building occupants as well as provide for a high level of protection of the building, its contents and processes. Experience has demonstrated that an in-depth understanding of both the “letter” and “intent” of the codes is essential by those responsible for the building and operation of a semiconductor processing facility. To this end, William Acorn has authored Chapter 9, “Building and Fire Codes Impacting the Semiconductor Industry.” In addition to providing a critical review of the fire and building codes specific to the construction, operation and occupancy of semiconductor and related occupancies, we are also provided a key reference guide to understanding the intent and practical application of these codes by one of the industry’s leaders in this arena. Of all the chemicals utilized by the semiconductor industry, no one group is as varied in its chemical properties, storage and delivery, monitoring and criticality to the semiconductor manufacturing process as process gases. With a wide range of toxicity, corrosivity, flammability and health hazards, compressed gases represent perhaps the most challenging and enigmatic elements of chemical hazards and control technologies to the industry. As such, process gas handling and the systems designed to control the hazards and at the same time facilitate production, are essential to our discussion. Richard Brookman and Bruce Tibbott have co-authored Chapter 10, “Gases and Gas Equipment,” which provides one of the most extensive treatises to date on this subject. Additionally, the gas data sheet references provided on the various chemical classifications, chemical and physical characteristics, and hazard information for the process gases utilized by the industry are invaluable. A major control factor in the storage and dispensing of process gases within semiconductor manufacturing is the requirement for monitoring of specific categories of hazardous production gases. These monitoring requirements are mandated by fire and building codes as well as local city and state “model ordinances.” The monitoring systems must also integrate with process equipment, have alarm capabilities, detect gases at part per billion levels and at the same time function twenty-four hours per day, seven days per week. These are just a few of the nuances and complexities involved in hazardous gas monitoring. Paul Manz’s Chapter 11, “Toxic Gas Monitoring,” analyzes gas monitoring requirements and methodologies from a technology, installation and utilization perspective. This chapter provides an overview of the origin of the requirements for monitoring process gases through the present day “Toxic Gas Model Ordinance.” In addition to
Preface
xi
monitoring requirements, types of detection methodologies, system installations, and selection of a gas monitoring systems are all discussed in great detail. The purpose of the Semiconductor Safety Handbook has been to provide a comprehensive, hands-on reference to environmental, health and safety issues critical to the semiconductor industry. It was also our intent to produce a text that provides a practical user’s guide for semiconductor environmental, health and safety practitioners as well as those individuals responsible for operation, maintenance and production in wafer fabrication facilities. It is our belief that the contributions of these authors have achieved that goal and we hope that you find the Semiconductor Safety Handbook a useful addition to your library. San Francisco, California September, 1997
Richard A. Bolmen, Jr.
Contents
xv
Contents
1
Injury and Illness of Semiconductor Workers: Experience and Epidemiologic Studies ..................................................... 25 Donald V. Lassiter and James H. Stewart 1.0 INTRODUCTION ................................................................................ 25 2.0 REVIEW AND DISCUSSION OF SEMICONDUCTOR INDUSTRY WORK INJURIES AND ILLNESSES ................................................... 28 2.1 Work Injury and Illness Statistical Program of U.S. BLS .............. 29 2.2 OSHA Recordkeeping System ..................................................... 29 2.3 Usefulness of BLS Survey to U.S. Semiconductor Industry ........ 31 2.4 Occupational Health System (OHS) ............................................. 32 2.5 Work Injury and Illness Experience of Semiconductor Workers .. 34 3.0 REVIEWANDDISCUSSIONOFEPIDEMIOLOGICINVESTIGATIONS 44 3.1 UMASS—Digital Equipment Corporation (DEC) Historical Cohort Study ................................................................................ 44 3.2 UC Davis-Semiconductor Industry Association Study ............... 46 3.3 Johns Hopkins University-IBM Study (JHU) ............................... 53 3.4 Discussion of Reproductive Epidemiology in the Semiconductor Industry ..................................................... 56 4.0 CONCLUSION ................................................................................ 56 REFERENCES ................................................................................ 57
xv
xvi
2
Contents
Environmental Compliance in the Semiconductor Industry: Detection, Correction and Prevention .................................. 59 Robert G. Kuykendall and Rollin C. Chew 1.0 INTRODUCTION ................................................................................ 59 2.0 EXTERNAL AND INTERNAL SOURCES AFFECTING COMPLIANCE ................................................................................ 61 2.1 External Factors Affecting Compliance ........................................ 63 2.2 Internal Factors Affecting Compliance......................................... 64 3.0 DETECTION OF ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES .................................................................... 68 3.1 Development of Environmental Audit Programs .......................... 69 4.0 CORRECTIVE ACTION FOR ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES ................................. 79 4.1 Use of Audit Program Results ...................................................... 82 5.0 MITIGATION AND PREVENTION OF ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES ................................. 84 6.0 ADOPTING PROACTIVE ENVIRONMENTAL STRATEGIES ............ 85 7.0 GOING BEYOND COMPLIANCE—HOLISTIC CONSIDERATIONS ............................................................................ 86 7.1 The Green Advantage .................................................................. 87 7.2 Corporate Environmentalists ........................................................ 89 REFERENCES AND SOURCE MATERIALS .............................................. 92 APPENDIX A:EPA POLICY ON ENVIRONMENTAL AUDITING ............ 94 APPENDIX B:ENVIRONMENTAL COMPLIANCE AUDIT CHECKLIST .............................................................................. 102 I. GENERAL .............................................................................. 102 II. COMPLIANCE AUDIT ................................................................. 103 A.Air .............................................................................. 103 B. Water .............................................................................. 106 C. TSCA (Toxic Substance Control Act) .................................... 111 D. Asbestos .............................................................................. 114 E. Proposition 65 ........................................................................ 115 F. Solid Waste ........................................................................... 116 G. Hazardous Waste .................................................................. 118 H. Hazardous Materials Management ........................................ 124 I. Underground Storage Tanks ................................................. 129 J. Aboveground Storage Tanks ................................................ 131 K. CERCLA Notification ............................................................. 134 L. Pesticides .............................................................................. 135 III. ENVIRONMENTAL MANAGEMENT AUDIT .................................. 138 A. Environmental Policy and Procedures.......................................... 138 B. Management Support and Attention ........................................... 140 C. Capital Project Coordination ........................................................ 142 D. Chemical Purchasing, Receiving & Inventory Control ................. 142 E. Emergency Procedures & Spill History ........................................ 145 F. Housekeeping .............................................................................. 147
Contents G. Property Transfer ......................................................................... H. Communications........................................................................... I. Monitoring Regulatory Activity .................................................. J. Recordkeeping ............................................................................. STEP I: BASE FINE .............................................................................. STEP II: AGGRAVATING FACTORS IN SENTENCING .......................... STEP III: FACTORS FOR ENVIRONMENTAL COMPLIANCE ............... STEP IV: GENERAL LIMITATIONS ......................................................... STEP V: PROBATION — ORGANIZATIONS ..........................................
3
148 148 151 152 154 162 172 177 179
Chemical Hazards in Semiconductor Operations ............... 187 Thomas E. Hawkinson and Daryl B. Korpela OVERVIEW .............................................................................. 1.0 PHOTOLITHOGRAPHY .................................................................... 2.0 WET ETCH .............................................................................. 3.0 DRYETCH .............................................................................. 4.0 IMPLANT/DIFFUSION ..................................................................... 5.0 CLEANING .............................................................................. 6.0 METALLIZATION ............................................................................ 7.0 MAINTENANCE ISSUES .................................................................. 8.0 USE OF GASES ..............................................................................
4
xvii
187 189 192 193 195 197 197 198 199
Industrial Hygiene ............................................................... 204 David G. Baldwin and Michael E. Williams 1.0 INTRODUCTION .............................................................................. 2.0 INDUSTRIAL HYGIENE MONITORING ........................................... 2.1 Overview of IH Monitoring ........................................................ 2.2 Assessment Tools ..................................................................... 2.3 Biological Monitoring ................................................................ 2.4 Airborne Contamination by Process .......................................... 2.5 Continuous Gas Monitoring ...................................................... 2.6 Surface Contamination ............................................................... 2.7 Noise .............................................................................. 3.0 Personal Protective Equipment .......................................................... 3.1 Background .............................................................................. 3.2 Chemical Protective Gloves ........................................................ 3.3 Respiratory Protection ............................................................... 4.0 ODOR IDENTIFICATION ................................................................. 5.0 RECORDKEEPING ..................................................................... 5.1 General .............................................................................. 5.2 Continuous Monitor Records .................................................... 5.3 Ventilation Records .................................................................... 5.4 Employee Communication .......................................................... 5.5 Personnel Records ..................................................................... ACKNOWLEDGMENTS .......................................................................... REFERENCES ..............................................................................
204 204 204 209 218 219 238 240 241 242 242 243 251 256 259 259 261 261 261 262 262 262
xviii Contents
5
Electrical Hazards ................................................................ 269 Clifford E. Oliver 1.0 INTRODUCTION .............................................................................. 269 2.0 WHERE DO WE START? ................................................................. 270 2.1 How Do You Identify an Electrical Hazard Before Someone Gets Hurt? .............................................................................. 270 3.0 TERMINOLOGY .............................................................................. 272 4.0 HUMAN EFFECTS ............................................................................ 274 5.0 SCENARIO OF AN ELECTRICAL SHOCK ....................................... 278 6.0 WHAT REALLY HAPPENS? ............................................................ 279 7.0 REPORTING .............................................................................. 280 8.0 COMMON ELEMENTS OF ELECTRICAL ACCIDENTS/ACCIDENT INVESTIGATION .............................................................................. 282 9.0 ELECTRICAL HAZARD MANAGEMENT ....................................... 288 10.0 TYPICAL ELECTRICAL HAZARDS ................................................. 289 10.1 Housekeeping Considerations ................................................ 290 10.2 General .............................................................................. 290 10.3 Installation .............................................................................. 290 10.4 Guarding .............................................................................. 291 10.5 Safety Signs ............................................................................. 291 10.6 Labeling .............................................................................. 291 10.7 Clearance .............................................................................. 292 10.8 Switches .............................................................................. 292 10.9 Manuals .............................................................................. 292 10.10 Water, Electricity, and Ground ................................................ 293 10.11 Extension Cords and Power Strips ........................................... 293 10.12 Electrical Plugs: 2- vs. 3-Prong 120-Volt .................................. 294 10.13 Circuit Breakers and Breaker Panel Boxes ................................ 294 10.14 Interlocks .............................................................................. 295 10.15 Hazardous Locations ............................................................... 295 10.16 Fire Extinguishers .................................................................... 296 11.0 HAZARD-BASED, SAFETY PLANNING ......................................... 297 12.0 LOCKOUT/TAGOUT ........................................................................ 298 12.1 OSHA .............................................................................. 298 12.2 Procedures .............................................................................. 298 12.3 Basics .............................................................................. 298 13.0 ELECTRICAL SAFETY COOKBOOK–What can be done? What can I do? .............................................................................. 299 13.1 Understand .............................................................................. 299 13.2 Communicate .............................................................................. 300 13.3 Never Work Alone ..................................................................... 300 13.4 Inspect .............................................................................. 300 13.5 No Shortcuts .............................................................................. 301 13.6 Safety Design Reviews............................................................... 301 13.7 Caution .............................................................................. 301 13.8 Final Thoughts ........................................................................... 301 REFERENCES .............................................................................. 302
Contents
xix
6 Radiation Safety .................................................................. 304 David G. Baldwin and Michael E. Williams 1.0 INTRODUCTION .............................................................................. 2.0 EXTREMELY LOW FREQUENCY ELECTROMAGNETIC FIELDS (ELF/EMF) ............................................................................ 3.0 RADIOFREQUENCY/MICROWAVE RADIATION .......................... 4.0 LASERS .............................................................................. 5.0 ULTRAVIOLET RADIATION ........................................................... 6.0 IONIZING RADIATION .................................................................... 6.1 X-Ray Generating Machines ...................................................... 6.2 Radioactive Material .................................................................. ACKNOWLEDGMENTS .......................................................................... REFERENCES ..............................................................................
7
304 305 313 315 317 318 319 321 324 324
Recognition, Evaluation and Control of Some Plasma Processing Hazards ............................................................. 327 David Rainer and Lisa Brooks CHEMICAL SAFETY MANAGEMENT IN A NUTSHELL ...................... 1.0 INTRODUCTION .............................................................................. 2.0 HAZARD EVALUATION ................................................................. 3.0 PROCESS HAZARD REVIEW ........................................................... 4.0 OTHER MECHANISMS TO ADDRESS EQUIPMENT SAFETY CONCERNS ........................................................................ 5.0 GAS CHEMICAL SAFETY ................................................................ 5.1 Compressed Gas Cylinder Safety ............................................... 5.2 Flow Restrictors ......................................................................... 5.3 Gas Flow through Limiting Orifices ............................................ 5.4 Sizing the Orifice ........................................................................ 5.5 Orifice Purging ........................................................................... 5.6 Gas Storage Locations and Gas Cabinets ................................... 5.7 A System Approach to Gas Safety ............................................. 5.8 917 Alarm Response Processor .................................................. 5.9 PFD 959 Gas Panel Controllers ................................................... 5.10 904A Wall Valves ....................................................................... 5.11 Loss of Essential House Services .............................................. 5.12 Action-Reaction ......................................................................... 6.0 TOXICOLOGY .............................................................................. 6.1 History and Development .......................................................... 6.2 Occupational Toxicology ........................................................... 6.3 Basic Concepts of Toxicology ................................................... 6.4 Classification of Toxic Effects .................................................... 6.5 Toxicology of Halogen-Containing Plasma Etching Gases ........ 6.6 Fluorine and Fluorine-Containing Gases .................................... 6.7 Chlorine and Chlorine-Containing Gases ................................... 6.8 Bromine and Bromine-Containing Gases ....................................
327 328 330 332 338 339 346 348 350 352 353 353 356 358 358 358 359 359 360 360 361 361 368 371 371 372 373
xx
Contents 7.0 SOURCES OF INFORMATION ......................................................... 374 REFERENCES .............................................................................. 375 ADDITIONAL REFERENCES ................................................................... 377
8 Fire Protection Technology for Semiconductor Operations ... 378 Robert J. Pearce 1.0 INTRODUCTION .............................................................................. 378 1.1 History .............................................................................. 378 1.2 Highly Protected Risk ................................................................. 379 1.3 Codes .............................................................................. 380 2.0 SITE SELECTION .............................................................................. 381 2.1 Introduction .............................................................................. 381 2.2 Climate .............................................................................. 382 2.3 Topography .............................................................................. 382 2.4 Exposure .............................................................................. 383 2.5 Utilities .............................................................................. 384 3.0 CONSTRUCTION .............................................................................. 387 3.1 Exterior Envelope........................................................................ 387 3.2 Cleanroom .............................................................................. 387 4.0 AIR HANDLING SYSTEMS .............................................................. 389 4.1 Introduction .............................................................................. 389 4.2 Construction Materials ............................................................... 390 4.3 High Efficiency Particulate Air Filters ........................................ 390 4.4 System Design ........................................................................... 391 4.5 Fume Exhaust System ................................................................. 393 5.0 SPRINKLER PROTECTION ............................................................... 396 5.1 Scope .............................................................................. 396 5.2 NFPA Codes .............................................................................. 396 5.3 Cleanroom Sprinkler Systems ..................................................... 396 5.4 Types of Sprinkler Systems ........................................................ 398 5.5 Room Protection ......................................................................... 400 5.6 Service Aisles/Floors ................................................................. 400 5.7 Duct Sprinklers ........................................................................... 401 5.8 Chemical Storage Areas ............................................................. 403 5.9 Added Reliability........................................................................ 404 6.0 WATER SUPPLIES ........................................................................... 405 6.1 Scope .............................................................................. 405 6.2 Primary Supply ........................................................................... 406 6.3 Booster Pumps ........................................................................... 406 6.4 Primary Fire Pumps ..................................................................... 406 6.5 Drivers .............................................................................. 407 7.0 SUPERVISION .............................................................................. 409 7.1 Scope .............................................................................. 409 7.2 Water Flow .............................................................................. 409 7.3 Valve Tamper.............................................................................. 410 7.4 Supervision of the Fire Pump ..................................................... 411
Contents 7.5 Other Alarms .............................................................................. 7.6 Smoke Detection ........................................................................ 7.7 Other Detectors .......................................................................... 7.8 Signalling Systems ..................................................................... 7.9 Proprietary Supervisory Service ................................................. 7.10 Watchman Service ..................................................................... 8.0 CHEMICAL HANDLING ................................................................... 8.1 Scope .............................................................................. 8.2 Gases .............................................................................. 8.3 Liquids and Solids ...................................................................... 9.0 EQUIPMENT .............................................................................. 9.1 Scope .............................................................................. 9.2 Electrics .............................................................................. 9.3 Materials of Construction .......................................................... 9.4 Heated Baths .............................................................................. 10.0 HUMANENGINEERING ................................................................... 10.1 Scope ..............................................................................
9
xxi 411 411 412 413 414 415 416 416 416 423 425 425 425 425 426 427 427
Building and Fire Codes Impacting the Semiconductor Industry ................................................................................ 429 William R. Acorn 1.0 2.0 3.0 4.0
UNDERSTANDING THE NEED FOR CODE COMPLIANCE ............ OVERVIEW OF APPLICABLE CODES ............................................. OVERVIEW OF UNIFORM BUILDING AND FIRE CODES .............. OCCUPANCY CLASSIFICATIONS .................................................. 4.1 Control Area .............................................................................. 5.0 CLASSIFICATION OF HAZARDOUS MATERIALS ....................... 5.1 Physical Hazards ........................................................................ 5.2 Health Hazards ........................................................................... 6.0 ALLOWABLE AREA AND SEPARATIONS .................................... 7.0 LOCATION .............................................................................. 8.0 EXITING .............................................................................. 9.0 EXIT CORRIDORS ............................................................................ 10.0 SERVICECORRIDORS ...................................................................... 11.0 HAZARDOUS MATERIAL STORAGE AND DISPENSE ROOMS ........................................................................... 11.1 Separation of HPMs ................................................................... 11.2 Flammable and Combustible Liquids .......................................... 11.3 Toxic Gas Monitoring ................................................................. 11.4 Smoke Detection ........................................................................ 11.5 Pyrophoric Materials .................................................................. 12.0 MECHANICAL HEATING, VENTILATING AND AIR CONDITIONING SYSTEMS .............................................................. 12.1 General .............................................................................. 12.2 Recirculating Air Handling Systems .......................................... 12.3 Exhaust Ventilation.....................................................................
429 430 431 432 432 439 439 439 440 441 442 444 444 445 445 446 446 446 446 446 446 447 447
xxii Contents 12.4 HPM Storage Area Ventilation ................................................... 448 12.5 Makeup Air .............................................................................. 449 12.6 Emergency Ventilation and Operation ........................................ 449 12.7 Air Handling System Isolation ................................................... 449 13.0 FIRE SUPPRESSION .......................................................................... 450 13.1 H-6 Occupancies ........................................................................ 451 13.2 Cleanrooms .............................................................................. 451 13.3 Storage Occupancies.................................................................. 452 13.4 Exhaust Ducts Containing Flammable Vapors ............................ 453 13.5 Exhaust Ducts Containing Corrosive Vapors ............................. 453 13.6 Gas Cabinets .............................................................................. 453 13.7 Alternate Suppression Systems ................................................. 454 14.0 ELECTRICAL POWER SYSTEMS ..................................................... 454 14.1 Transfer Switches ....................................................................... 455 14.2 Emergency Shutoffs ................................................................... 455 14.3 Hazardous Area Electrical Requirements .................................... 457 15.0 LIFE SAFETY ALARM AND MONITORING SYSTEMS ................. 458 15.1 Emergency Control Station (ECS) ............................................... 458 15.2 Fire Alarm and Monitoring Systems .......................................... 459 15.3 Continuous Toxic Gas Monitoring ............................................. 460 15.4 Emergency Spill Alarm ............................................................... 463 15.5 Audible Alarm Evacuation ......................................................... 464 15.6 Visual Alarm Signaling ............................................................... 464 15.7 Fireman’s Command Station ....................................................... 464 16.0 RETROFIT AND RENOVATION OF HAZARDOUS FACILITIES TO COMPLY WITH “H” CODES ................................. 465 16.1 Renovation .............................................................................. 465 16.2 Code Agency Liaison ................................................................. 466 17.0 PRIORITIZING CODE COMPLIANCE ISSUES .......................... 468 18.0 PHASING OF PROJECT CONSTRUCTION ....................................... 469 18.1 Scheduling .............................................................................. 470 18.2 Project Manager ......................................................................... 470 18.3 Contractors .............................................................................. 471
10 Gases and Gas Equipment .................................................. 472 Richard P. Brookman and Bruce Tibbott 1.0 INTRODUCTION .............................................................................. 472 2.0 GAS DISTRIBUTION SYSTEMS IN PLANT PIPING ........................ 473 2.1 Materials of Construction .......................................................... 473 2.2 Compression Fittings ................................................................. 474 2.3 VCR Fittings .............................................................................. 475 2.4 Orbitally Welded Fittings ........................................................... 475 2.5 Routing Considerations ............................................................. 476 2.6 Secondary Containment ............................................................. 476 2.7 Dedicated Versus Branched Lines ............................................. 477 2.8 Pressure Testing ........................................................................ 478
Contents
3.0
4.0
5.0
6.0
xxiii
2.9 Leak Testing .............................................................................. 2.10 Particulate Testing ..................................................................... GAS CYLINDERS—SAFE USE AND HANDLING ........................... 3.1 Cylinder Types and Specifications ............................................. 3.2 Compressed Gas Cylinders......................................................... 3.3 Liquefied Gas Cylinders ............................................................. 3.4 Cryogenic Cylinders ................................................................... 3.5 Cylinder Valves and Safety Devices .......................................... 3.6 Cylinder Connections, Types and Uses ..................................... 3.7 Safety Devices (Reliefs, Fuse Plugs, RFO’s, Etc.) ...................... 3.8 Receiving and Identification of Cylinders .................................. 3.9 Leaking Cylinders ....................................................................... 3.10 Transportation and Storage ....................................................... 3.11 Safe Use of the Cylinder ............................................................. GENERAL GAS HANDLING EQUIPMENT ....................................... 4.1 Pressure Regulators ................................................................... 4.2 Valves .............................................................................. 4.3 Pressure Sensors ........................................................................ 4.4 Flow Meters .............................................................................. 4.5 Filters and Purifiers..................................................................... 4.6 Vacuum Generators .................................................................... CYLINDERGASDELIVERYSYSTEMS ............................................ 5.1 Enclosures (Gas Cabinets, Gas Storage Rooms, Etc.) ................. 5.2 Gas Panels .............................................................................. 5.3 System Monitoring..................................................................... 5.4 System Control ........................................................................... GAS CANDIDATES .......................................................................... 6.1 Ammonia .............................................................................. 6.2 Argon .............................................................................. 6.3 Arsenic Pentafluoride ................................................................. 6.4 Arsine .............................................................................. 6.5 Boron Trichloride ....................................................................... 6.6 Boron Trifluoride ........................................................................ 6.7 Bromotrifluoromethane............................................................... 6.8 Chlorine .............................................................................. 6.9 Chloropentafluoroethane ........................................................... 6.10 Chlorotrifluoromethane .............................................................. 6.11 Diborane .............................................................................. 6.12 Dichlorodifluoromethane............................................................ 6.13 Dichlorosilane ............................................................................ 6.14 Disilane .............................................................................. 6.15 Germane .............................................................................. 6.16 Helium .............................................................................. 6.17 Hexafluoroethane ....................................................................... 6.18 Hydrogen .............................................................................. 6.19 Hydrogen Bromide ..................................................................... 6.20 Hydrogen Chloride .....................................................................
478 479 479 479 480 481 482 483 484 487 489 490 491 493 494 494 497 500 501 502 503 503 503 505 508 510 512 512 514 515 517 518 520 522 524 526 527 529 531 533 535 536 538 539 541 543 545
xxiv Contents 6.21 Hydrogen Fluoride ..................................................................... 547 6.22 Hydrogen Selenide ..................................................................... 549 6.23 Methyl Fluoride .......................................................................... 551 6.24 Nitrogen .............................................................................. 553 6.25 Nitrogen Trifluoride .................................................................... 554 6.26 Nitrous Oxide .............................................................................. 556 6.27 Oxygen .............................................................................. 558 6.28 Perfluoropropane........................................................................ 560 6.29 Phosphine .............................................................................. 562 6.30 Phosphorous Pentafluoride ........................................................ 564 6.31 Selenium Hexafluoride ................................................................ 566 6.32 Silane .............................................................................. 567 6.33 Silicon Tetrachloride .................................................................. 568 6.34 Silicon Tetrafluoride ................................................................... 570 6.35 Sulfur Hexafluoride ..................................................................... 571 6.36 Tetrafluoromethane .................................................................... 573 6.37 Trichlorofluoromethane .............................................................. 575 6.38 Trichlorosilane ........................................................................... 577 6.39 Tungsten Hexafluoride ............................................................... 579 BIBLIOGRAPHY .............................................................................. 580
11 Toxic Gas Monitoring .......................................................... 581 Paul C. Manz 1.0 REQUIREMENT FOR CONTINUOUS TOXIC GAS MONITORING .......................................................................... 581 1.1 Introduction .............................................................................. 581 1.2 Brief History .............................................................................. 582 2.0 PURPOSE OF A TOXIC GAS MONITORING SYSTEM .................... 583 3.0 FUNCTIONAL MODEL OF A TOXIC GAS MONITORING SYSTEM .............................................................................. 587 3.1 Transport .............................................................................. 588 3.2 Analysis .............................................................................. 588 3.3 Identification .............................................................................. 594 3.4 Alarm .............................................................................. 597 3.5 Action .............................................................................. 598 4.0 SELECTION OF A TOXIC GAS MONITORING SYSTEM ................ 598 4.1 Single- or Multi-Point ................................................................. 599 4.2 Gas Detection Technology......................................................... 604 4.3 Gas Detector Integration ............................................................ 608 4.4 Role of Portable Gas Detectors................................................... 612 5.0 FUTURE TRENDS ............................................................................. 613 REFERENCES .............................................................................. 614
Index .......................................................................................... 616
Injury and Illness of Semiconductor Workers
25
1 Injury and Illness of Semiconductor Workers: Experience and Epidemiologic Studies Donald V. Lassiter and James H. Stewart
1.0
INTRODUCTION
The U.S. semiconductor industry workplace presents an interesting departure from the classic view of the American working environment. As the very essence of what has become known as “High Technology” or the “High Tech” industry, the semiconductor industry is characterized by rapid changes, based on intense competition to place increasingly smaller microcircuits on increasingly smaller substrate surfaces, with no loss in final product “yield.” Layered on top of competitive production change is the necessity to perform the crucial elements of manufacturing in isolated, ultraclean wafer fabrication units. Workers themselves are further isolated from the product being produced by wearing special “gowns,” hair covers and facial masks. They are protected from harmful exposures to toxic chemicals and physical agents in ways that no large, manufacturing work force has ever been protected previously. Only the aerospace and nuclear weapons industries provide similar worker exposure controls. Yet, in all three of these industries, worker exposure controls were designed primarily to 25
26
Semiconductor Safety Handbook
maintain product purity in a working environment free of harmful contamination. Practically speaking, concerns for product purity have resulted also in worker protection. The wearing of personal protective clothing in semiconductor wafer fabrication rooms has been coupled with sophisticated systems of ventilation and chemical/gas air monitoring and detection. Alarms can be set at parts per million (ppm), or even parts per billion (ppb), to ensure that semiconductor employees are protected from harmful exposures resulting from releases of monitored chemical and gaseous substances. From this perspective, it must be understood that such controls on monitoring workplace air are absolutely essential in the wafer “fab.” Extremely toxic gases, such as arsine, phosphine and diborane have been in use in wafer fabrication since the beginning of the industry. The history of the industry is replete with wafer fab evacuations based on real or suspected leaks of gases or of solvents. Such evacuation episodes have become much less frequent in today’s wafer fabs largely because of the lessons learned in design of ventilation systems, toxic gas/chemical handling and increasingly sophisticated air monitoring systems with continuous air sampling. From the standpoint of traditional workplace injury and illness statistics, the U.S. semiconductor industry has provided a model for worker safety and health protection. The frequency of work-related accidents and exposures resulting in injuries and illnesses has been among the lowest in the U.S. Figure 1 provides a graphic illustration of this experience compared with the private sector, all U.S. manufacturing, and durable goods manufacturing. However, the industry has not been free of work injuries and illnesses, even though the rate of occurrence of such conditions has been low. Nor has the industry been free of concern that more subtle health effects may be present.[1] In this chapter, we attempt to address both aspects of the U.S. semiconductor injury and illness experience. The chapter will skim over the past decade characterized by very low incidence rates of work injuries and illnesses among semiconductor workers to focus, instead, on stratification of work injuries and illnesses experienced by this work force during 1993 (provided by the U.S. Bureau of Labor Statistics and the Occupational Health System of the Semiconductor Industry Association).[2] Because of a major concern for the reproductive health of workers which surfaced in this industry during the middle 1980’s, a review of three, independent epidemiologic investigations is presented and the results discussed.
Injury and Illness ofSemiconductor Workers
27
Finally, it must be emphasized that the U.S. semiconductor industry has represented a unique modeling opportunity for public health research. Although major companies within the industry have always been highly competitive, these same companies have formed several organizations designed to facilitate information and data exchange of a noncompetitive nature which have relevance to the safety and health of the semiconductor work force. These organizations include SEMATECH, the Semiconductor Safety Association (SSA), and the Environmental Safety and Health Committee of the Semiconductor Industry Association (SIA). The geographic “compactness” of the industry in the “Silicon Valley” of Northern California in the 1980’s made possible the development of the Occupational Health System (OHS), which will be discussed later. Without the ability to maintain a close, ongoing relationship with key members of the industry’s safety and health community (often involving ad hoc meetings on short notice) a work injury and illness surveillance system such the OHS might not have been possible.
Figure 1. Comparative annual incidence rates for Occupational Health System, U.S. semiconductor industry, durable goods, and all manufacturing (1983-95).
28
Semiconductor Safety Handbook
2.0
REVIEW AND DISCUSSION OF SEMICONDUCTOR INDUSTRY WORK INJURIES AND ILLNESSES
During the past several decades the primary indicator of the safety and health experience of the American work force has been the incidence of work-related injuries and illnesses as published annually by the U.S. Bureau of Labor Statistics (BLS).[3] These incidence rates (calculated as the average number of new injury or illness cases per 100 full-time equivalent employees per year) have provided a standardized annualized statistic for comparing the overall work injury and illness experience of workers in various industry sectors. Prior to 1972 (the initial BLS survey year), the only significant sources of data concerning semiconductor worker safety and health were individual states’ workers’ compensation records. Because of the dearth of data concerning worker health prior to 1972, the growth of the industry during the 1970’s and the many manufacturing process changes which have become a signature of the industry, historical data concerning injuries and illnesses among semiconductor workers prior to 1972 can be considered fairly irrelevant. Hence, the safety and health experience of the U.S. semiconductor industry work force has been closely tied to the annual incidence of work injuries and illnesses as calculated by the BLS rate for this industry. Figure 1 compares annual incidence rates for various industry sectors with the rates for the semiconductor industry during the period 1983–1995. Beginning in 1982, the primary trade association of the U.S. semiconductor industry (Semiconductor Industry Association—SIA) has sponsored the development and maintenance of the Occupational Health System (OHS). This system provides detailed data analyses of pertinent work injury and illness case variables on an annual basis for the semiconductor industry. Approximately one-quarter to one-third of the U.S. semiconductor industry participates in the OHS program on a year-to-year basis. Prior to 1982, the only significant data concerning the safety and health experience of the nation’s semiconductor work force were those published annually in the BLS surveys, as discussed above. Other indicators of the safety and health status of semiconductor workers have been reports of surveys (Health Hazard Evaluations) performed by the National Institute for Occupational Safety and Health (NIOSH), a sprinkling of papers published in the open scientific literature and proceedings of symposia associated with environmental and worker safety and
Injury and Illness of Semiconductor Workers
29
health issues.[4][5] In addition, an annual meeting of semiconductor safety, health and environmental professionals sponsored by the Semiconductor Safety Association (SSA) has provided timely presentations concerning worker safety and health issues. Finally, as stated above, several epidemiologic studies have been performed during the past decade which focus on reproductive health consequences of employment in the semiconductor industry. These sources of data and information concerning semiconductor worker injuries and illnesses are discussed in the following sections of this chapter to present a contemporary review of the current status of the safety and health of this industry’s work force.
2.1 Work Injury and Illness Statistical Program of U.S. BLS Because of both the historic and contemporary importance of the BLS Annual Surveys of Work-Related Injuries and Illnesses, with respect to understanding the safety and health status of U.S. semiconductor workers, a summary discussion of this program is in order.
2.2 OSHA Recordkeeping System The Occupational Safety and Health Act of 1970 (OSH Act) created two new federal agencies: the Occupational Safety and Health Administration (OSHA) within the U.S. Department of Labor and the National Institute for Occupational Safety and Health (NIOSH) within the U.S. Department of Health and Human Services. The role of OSHA was to promulgate and enforce national workplace standards designed to protect the safety and health of the American worker, while the role of NIOSH was to sponsor research, train occupational safety and health professionals and recommend criteria for workplace standards to OSHA. The OSH Act mandated the Secretary of Labor with responsibility for developing and maintaining a national system for collection and analysis of work injury and illness statistics. In 1971 (the initial year that the OSH Act took effect), the Secretary of Labor delegated this responsibility to the Bureau of Labor Statistics (BLS), within the Department of Labor. Since that time BLS has performed a national Annual Survey of Occupational Injuries and Illnesses, while OSHA has retained responsibility for enforcing compliance with the recordkeeping provisions of the Part 1904 of the OSHA regulations.
30
Semiconductor Safety Handbook
In 1971, OSHA promulgated the initial recordkeeping requirements at Title 29 CFR Part 1904. These recordkeeping regulations required employers with eleven or more employees to maintain an annual log of work injuries and illnesses, except for minor, first aid injuries. The log form is entitled “Log and Summary of Occupational Injuries and Illnesses,” (OSHA No. 200 form) and is required to be maintained by employers in all workplace establishments covered by the OSH Act. Only certain “low hazard” industries are specifically exempted from the annual recordkeeping requirements of the regulations. The exempted industries include certain retail industries, all finance, insurance, and real estate industries and certain service industries. However, some employers in each exempted industry are required to maintain the OSHA-200 log from time to time to provide a statistical sample for the Annual Surveys performed by BLS. In addition to the OSHA-200 log itself, the recordkeeping regulations require completion of a supplemental record for each work injury and illness case recorded on the log. The supplemental record contains more detail concerning the characteristics of the recorded case, included demographic variables. Employers are provided the choice of completing a separate Supplementary Record of Occupational Injuries and Illnesses (OSHA No. 101 form) or of substituting an alternate form (e.g., Workers’ Compensation reporting form) as long as the alternate form contains equivalent data and information. The Annual BLS Survey is performed by selecting a representative sample of employers from all industries according to Standard Industrial Classification (SIC) code and employment size (“establishment size”) and requiring the selected employers to copy annual totals of cases and days of lost and restricted work to a survey form. Beginning in 1992, the Annual Survey began to require detailed data from the supplementary data form (OSHA-101 or equivalent) for a sample of cases involving lost days away from work. The data collected by BLS during the Annual Survey are compiled into simple, summary statistics and published approximately two years following the year of occurrence. The published statistics include the average annual incidence of cases of work injuries and illnesses which have occurred per 100 full-time equivalent workers per year by major industry division and 4-digit SIC code. Also, incidence rates associated with lost and restricted workdays cases are published. Hence, the BLS Annual Surveys provide the U.S. public with annualized frequency statistics concerning cases and work loss time associated with employee injuries and illnesses. In 1992, the Annual Survey began
Injury and Illness of Semiconductor Workers
31
providing more detailed data concerning case and demographic characteristics of cases involving lost days away from work.
2.3
Usefulness of BLS Survey to U.S. Semiconductor Industry
In evaluating the usefulness of the BLS recordkeeping system with respect to a particular industry, it is important to understand the limits of that system. Firstly, the system is sustained by the thousands of the nation’s employers who are required (by OSHA regulation) to maintain the OSHA200 log and OSHA-101 (or equivalent form) supplemental data form. Despite OSHA’s view that the records provide employers with a valuable resource for analyzing the work injury and illness experience of their work force, many employers view the system as an encumbrance or, worse, a required nuisance. This assessment of the system on the part of employers is unfortunate, but understandable. The reasons for this viewpoint by employers are many and are varied. It is sufficient in this context simply to understand that the system has been maintained over the past two decades by individuals who have little at stake in its success and who would care little if it completely failed. The fact that organized labor has, likewise, placed little importance on increasing the effectiveness of the OSHA/BLS recordkeeping system simply underscores this perspective. Hence, the roots of the present system provide little support other than what is mandatorily required by OSHA regulation. For this reason, only the required minimal effort is expended by employers to maintain the system’s required records. Even that minimal effort often falls short in maintaining the detailed supplemental case form (OSHA-101 or equivalent). Secondly, employers view the system as a regulatory enforcement tool. Because OSHA compliance inspections normally begin with a review of the OSHA-200 log, employers have long regarded their OSHA-200 log as a self-incriminating document. This viewpoint became entrenched when it was widely understood that the course of an OSHA inspection could hinge on whether the establishment’s lost workday experience was considered excessive, compared to similar companies in the same SIC (Standard Industrial Classification) code. This situation tends to place undue emphasis on maintaining a low company profile with respect lost workdays and lost workday cases. Hence, company recordkeeping policies and adequate employee recuperation associated with work loss can become clouded issues.
32
Semiconductor Safety Handbook
Thirdly, OSHA recordkeeping mechanics tend to complicate what is, in essence, really a very simple procedure. Requirements for (i) recording distinctions between injuries and illnesses on the OSHA-200 log, (ii) for placing X’s in various columns on the log and (iii) for carrying forward individual sheet totals of cases and work loss days to annual totals are fraught with possibilities for errors. From this perspective, it may seem incongruous that these records could be of prime, practical significance to estimating the work injury and illness experience of the U.S. semiconductor industry, much less the nation’s work force. However, because the BLS recordkeeping system is considered credible by the federal and individual state governments, and because it is used both to target OSHA inspections and to determine the work injury and illness experience of employees in individual workplaces, it must be included in any employer’s plan of injury and illness surveillance.
2.4
Occupational Health System (OHS)
In 1991, the Board of Directors of the Semiconductor Industry Association (SIA) sponsored development of the Occupational Health System (OHS). The primary purpose of the OHS was to document the work injury and illness experience of the U.S. semiconductor industry work force on an annual basis. The OHS was supported by participating companies on an annual fee basis. The primary components of the OHS include: 1. Occupational Title Directory The Occupational Title Directory was designed to provide a system of uniform coding of cases from participating companies based on common jobs. The Directory contains approximately 65 occupations common to the U.S. semiconductor industry work force. Although the source for the Directory was the U.S. Bureau of the Census classification of occupations, the Directory was specifically tailored for use in the OHS and includes a disproportionate number of jobs for engineers and technicians, compared with the source document.
Injury and Illness of Semiconductor Workers 2. Case characteristic codes A series of codes was developed to classify case characteristics of worker injuries and illnesses according to: • Type of accident or exposure or event • Source of injury or illness • Nature of injury or illness • Part of body affected • (Cause of accident or exposure—optional) All work injury and illness cases submitted for inclusion in the OHS database are coded except for “cause of accident or exposure” code. This latter code is, presently, optional in the OHS. 3. Demographic variables The following demographic variables are coded for each case entered into the OHS database: • Gender • Job code (from Occupational Title Directory) • Date of birth • Date of hire 4. Administrative variables The following case administration data are entered for each case: • Date of injury or illness • (Time of injury or illness—optional) • Employee name • Employee SSN • Employee Number • Number of work loss days (away from work) • Number of restricted workdays • Company/facility ID Code • Department/work division • OSHA classification as to “injury” or “illness”
33
34
Semiconductor Safety Handbook 5. Computerized management information system A computerized management information system was developed to manage the OHS database, and tailored versions of this program are made available for use by participating companies. Companies which have either purchased or developed their own computer programs to manage work injury and illness data, or which perform such analyses manually, are required to provide computer files of coded cases or hard copies of coded data. Data from the OHS have been included along with comparable data from the BLS 1993 Survey in Figs. 1–14.
2.5 Work Injury and Illness Experience of Semiconductor Workers Annual incidence rates for OSHA-recordable work injuries and illnesses for various U.S. industry sectors during the period 1983–1995 are presented in Fig. 1. These rates (average numbers of cases per 100 full-time equivalent workers per year) compare all manufacturing and durable goods manufacturing with semiconductor manufacturing and with the OHS database for the same time periods. The primary distinction in these rates is between the incidence of cases for all manufacturing and durable goods manufacturing compared with rates for both semiconductor manufacturing (BLS) and the OHS database. During this period, incidence rates for semiconductor manufacturing have been approximately one-third of the rates for durable goods manufacturing. In fact, during the past decade the semiconductor industry has experienced some of the lowest incidence rates of work injuries and illnesses in the durable goods manufacturing sector. However, the practical significance of these rate comparisons is seriously limited. As discussed in the section of this chapter dealing with the BLS recordkeeping system, these rates provide no information beyond the rate statistic, itself. Unfortunately, rate comparisons (based on published BLS rates) have been used widely during the past several decades as surrogates of the status of worker safety and health of entire industry sectors, individual companies, company divisions etc. Although such comparisons—in the broadest sense—are justified, they tend to mask possible underlying problems. Only the composite experience is documented in the BLS published annual rates, with no stratification of the data beyond the 4-digit SIC code. Of course, from a relative risk standpoint, it is possible to
Injury and Illness of Semiconductor Workers
35
identify industry sectors, companies, divisions, etc., which differ, significantly, from calculated “norms.” Fortunately, beginning in 1992, the U.S. Bureau of Labor Statistics (BLS) began publication of a new series of data analyses based on detailed coding of OSHA-recordable cases involving days away from work. Data obtained directly from BLS2 have been used to produce a series of figures (Figs. 2–14) which present direct comparisons of these data as they pertain to the experience of employees in the U.S. semiconductor industry during 1993. Although these data cannot be thoroughly analyzed at present because of the limited access afforded by BLS, as a whole they provide much greater clarity concerning the work injury and illness experience of U.S. semiconductor workers than has been available previously. A discussion of these data is presented below. With the exception of Fig. 5 (associated with race/ethnic characteristics) each figure compares the lost workday* case experience for the private sector, all manufacturing, semiconductor manufacturing and the OHS database. The incidence of cases with days away from work in 1993 for the industry sectors being compared in these figures was: • Private sector 3.0 cases per 100 workers • All manufacturing 3.5 cases per 100 workers • Semiconductors 1.2 cases per 100 workers • OHS 1.1 cases per 100 workers Figure 2 compares the distribution of lost workday cases according to the primary, major occupation of the affected worker. In the absence of incidence rates, these data illustrate a similar pattern of cases for the industry sectors depicted. For all sectors, the greatest proportion of cases occurred among workers employed as operators, fabricators or laborers. The OHS has documented that the greatest number of cases during the past decade have involved wafer fabrication employees, although the incidence of cases for this group has been only slightly in excess of the rate for the semiconductor industry, as a whole (e.g., 4.0–5.0). The comparative distribution of lost workday cases stratified by employee age at time of accident or exposure is presented in Fig. 3. A related set of data is presented in Fig. 4, associated with the length of employee service with the employer. In both figures, semiconductor workers predominate in the older worker groups (Fig. 3) and in the groups with most service with the employer (Fig. 4). The reasons for greater proportions of semiconductor workers in the older/longer service groups are, probably, * The terms lost workdays and lost workday cases will apply only to cases with days away from work in this chapter
36
Semiconductor Safety Handbook
more likely associated with greater proportions of employees (“at risk”) in these two categories in the semiconductor industry. That is, it is possible that the semiconductor industry has a relatively greater proportion of older employees, which would also indicate a greater number of workers with more experience. However, in the absence of incidence rates, it is not possible completely to rule out a greater risk of injury or illness for these workers.
Figure 2. Comparative distribution of lost workday cases (cases with days away from work) by employee occupation—1993.
Figure 3. Comparative distribution of lost workday cases by employee age group—1993.
Injury and Illness of Semiconductor Workers
37
Figure 4. Comparative distribution of lost workday cases by length of service with employer —1993.
In Fig. 5, the proportional distribution of lost workday cases according to employee race/ethnic group is presented. (The OHS does not code cases according to this variable.) With respect to the U.S. semiconductor industry, greater proportions of injuries or illnesses occurred among Hispanic and Asian/Pacific Islander employees in comparison with other race/ ethnic groups of semiconductor workers. In the case of Asian/Pacific Islander employees, a near fourfold excess of lost workday cases was documented for this group of semiconductor workers in 1993, compared with the other race/ethnic groups. Again, an absence of incidence rates by race/ethnic group precludes definitive analyses of these differences. It is most probable that the excess of cases is more reflective of greater numbers of workers in these race/ethnic categories employed in the semiconductor industry. There is no reason to believe that race or ethnicity would be expected to place semiconductor workers at proportionately greater risk of injury or illness.
38
Semiconductor Safety Handbook
Figure 5. Comparative distribution of lost workday cases by race or ethnic group—1993.
Figure 6 examines differences in the distribution of days away from work for these industry sectors. Compared with the private sector and all manufacturing, both the semiconductor industry and the OHS database reported greater proportions of cases involving only one or two days way from work. This experience tends to indicate that lost workday cases involving semiconductor workers are less severe than for workers in the other comparison industry sectors. Figures 7 and 8 compare the lost workday experience of semiconductor workers with the private sector and with all manufacturing with respect to event or exposure (also stated as “type for accident or exposure”). From the viewpoint of proportional lost workday case distribution (Fig. 7), the U.S. semiconductor industry and the OHS database documented greater proportions of cases associated with overexertions and harmful exposures than the private sector or all manufacturing. However, in Fig. 8, which illustrates the incidence of cases with days away from work, the incidence rate for most categories was much less for the two semiconductor groups than for the private sector or all manufacturing. Cases involving overexertions among semiconductor workers were less than one-half the rate for workers in the rest of the manufacturing sector. The harmful exposure category (primarily associated with exposures to chemical substances) was equivalent among all four groups.
Injury and Illness of Semiconductor Workers
39
Figure 6. Comparative Distribution of lost workday cases by numbers of days away from work—1993.
SOURCE: Annual Survey, US DOL, 1993, & OHS Annual Survey, 1993
Figure 7. Comparative distribution of lost workday cases by event or exposure—1993.
40
Semiconductor Safety Handbook
Figure 8. Comparative incidence of lost workday cases by event or exposure—1993.
Comparative distributions of lost workday cases according to source of injury or illness are presented in Figs. 9 and 10. In Fig. 9, the two semiconductor groups predominate in the source categories associated with chemicals. In addition, the U.S. semiconductor group had greater proportions of lost workday cases associated with containers and “worker motion/ position” (i.e., ergonomic cases). A large difference was observed between the two semiconductor groups with respect to “machinery” as a source category. The OHS database reported an almost threefold greater proportion of cases for this group than for the U.S. semiconductor industry, as a whole. It is possible that this difference was associated with better source definition available to the OHS than is available to the BLS state agencies which perform case coding. In Fig. 10, the influence of low overall incidence rates for the two semiconductor groups is once again observed. Lost workday case rates for both semiconductor groups are below those for the private sector and all manufacturing with the exception of a slightly higher rate for the OHS database with respect to the “chemicals” source category. Figures 11 and 12 compare proportions of cases and incidence rates, respectively, associated with the nature of injuries or illnesses among the four groups. In Fig. 11, both semiconductor groups projected higher proportions of cases associated with strains or sprains and with chemical
Injury and Illness of Semiconductor Workers
41
burns compared with the other two groups. In addition, the U.S. semiconductor group exhibited a greater proportion of carpal tunnel syndrome (CTS) than the private sector. The higher proportion of lost workday cases associated with strains or sprains among semiconductor workers is resolved in Fig. 12. In this figure, the rates for the two semiconductor groups were less than one-half of the rates for both the private sector and for all manufacturing in 1992. The incidence of chemical burns was slightly higher for semiconductor workers, but was very low for all comparison groups. The incidence of CTS among U.S. semiconductor workers was less than one-half the rate for all manufacturing. In Figs. 13 and 14, respectively, the distribution and incidence of cases involving days away from work is illustrated according to part of body affected. Proportional distributions of cases were similar among all four groups (Fig. 13) with the exception of greater proportions of cases among the two semiconductor groups associated with body system(s). These cases were consistent with the observation of greater numbers and rates associated with “chemicals” as a source category in Figs. 9 and 10, respectively. In Fig. 14, although the incidence of cases involving body systems was low for all comparison groups, the rates for semiconductor workers remained slightly elevated. This slight difference may be related to greater control over accuracy of data coding afforded by the OHS.
Figure 9. Comparative distribution of lost workday cases by source of injury or illness— 1993.
42
Semiconductor Safety Handbook
Figure 10. Comparative incidence of lost workday cases by source of injury or illness— 1993.
Figure 11. Comparative distribution of lost workday cases by nature of injury or illness— 1993.
Injury and Illness of Semiconductor Workers
43
Figure 12. Comparative incidence of lost workday cases by nature of injury or illness— 1993.
Figure 13. Comparative distribution of lost workday cases by part of body affected—1993.
44
Semiconductor Safety Handbook
Figure 14. Comparative incidence of lost workday cases by part of body affected—1993.
3.0
REVIEW AND DISCUSSION OF EPIDEMIOLOGIC INVESTIGATIONS
3.1
UMASS—Digital Equipment Corporation (DEC) Historical Cohort Study[6]
In September of 1983, a female employee at DEC’s (Digital Equipment Corporation’s) semiconductor plant in Hudson, Massachusetts, indicated that she felt that there was an excess of miscarriages occurring among employees in the fab clean rooms. As a result of this allegation and the absence of internal data, the University of Massachusetts School of Public Health (Amherst) was requested to conduct a health study that focused on reproductive outcomes but would produce incidence data on other diseases in the worker population, as well. The study was begun in May of 1984 and completed in 1985. Overview. The UMASS team conducted an historical cohort study of all employees of the Digital Equipment Corporation semiconductor manufacturing plant in Hudson, Massachusetts. Current employees were interviewed by trained female interviewers that were blind to the hypothesis
Injury and Illness of Semiconductor Workers
45
of the study. Employees who terminated for any reason were identified. Only those who terminated voluntarily were included in the study population. All current fab employees who had worked more than one month in the semiconductor production “fab areas” were invited to participate in the health study. The exposed group was composed of the photolithographic workers. Two comparison groups were constructed: one composed of workers from the diffusion areas, ion implant, epitaxy, and other production areas (not including assembly) where glycol ethers were not used. The second group was composed of non-fab employees who had minimal or no known chemical exposures and who had never worked in a fab area. The non-exposed referent group was composed of a random sample of nonexposed employees who were group-matched (on age and sex). The diffusion group was also a referent group but composed of chemically exposed workers who had mainly acid and metal exposures with little if any solvent exposure. The exposed group was composed of workers with mainly solvent exposures including glycol ethers. A spontaneous abortion was defined as a fetal loss at less than 29 weeks gestational age. Data were collected in two phases: November and December 1984, and April and May 1986. Two phases of data collection were used because only two pregnancies were identified in the exposed group. The second phase was designed to increase the sample size. This was done in two ways: one, the non-exposed group was expanded to include all women at the plant who never worked in fab or chemically exposed jobs; and two, the inclusion of non-exposed pregnancies that occurred prior to employment at Digital. The experimental unit was the pregnancy, not the woman. Therefore one woman could contribute more than one observation to the study. The male employees were also interviewed and the spouses of male employees were also invited to participate via phone interview. The industrial hygiene data for the plant were reviewed to attempt to establish exposure groups. The data showed that, of the 1500+ air samples taken, 89% contained nothing detectable. The highest exposure was approximately 1 ppm (xylene). The data were not used to construct exposure rankings. Exposures were determined by employees reporting their activities: where they worked, how often they performed certain tasks etc. Health information collected was heavily weighted towards reproductive health endpoints. Other disease data collected included arthritis, nausea, rash, sore throats, and headaches. Sociodemographic data such as previous health history, education, tobacco and alcohol use, etc., were also collected.
46
Semiconductor Safety Handbook
Results. The UMASS study results are presented in Table 1. An elevated risk of miscarriage was found in both the photo group and the diffusion group when compared to the non-exposed group. The relative risk of 1.75 was not statistically significant at 0.05 while the 2.18 relative risk observed in the diffusion areas was significant.
Table 1. Relative risk of miscarriages. Group
Total Pregnancies
% SAB
Relative Risk
16 18 398
31.3 38.9 17.8
1.75 2.18*
Photolithography Diffusion (non-Photo) Non-exposed *Statistically significant at p < 0.05
This elevated risk held when factors such as tobacco use, previous medical history, alcohol use, marital status, education, etc. were considered (through multiple logistic regression analysis). Additional analyses were conducted to estimate the effect of including pre-Digital pregnancies from the non-exposed group. The elevated risk (though not statistically significant) was still present when the results were adjusted for recall bias (in the non-exposed group) for spontaneous abortions/pregnancies that occurred many years ago. General health outcomes such as nausea, arthritis, headaches, rashes, and sore throats were reported more often by photolithography workers than others. These analyses were prevalence-based rather than incidence-based as used in the reproductive portion of the study.
3.2
UC Davis-Semiconductor Industry Association Study[7]
The University of California at Davis (UC Davis)-Semiconductor Industry Association (SIA) study was proposed in 1988 and implemented in 1989. The UC Davis study was designed to test the hypothesis that semiconductor manufacturing presents an increased risk of miscarriage to female workers. This was a hypothesis testing study rather than the
Injury and Illness of Semiconductor Workers
47
previous hypothesis generating study by the University of Massachusetts (UMASS) study[6] at Digital Equipment Corporation’s Semiconductor Manufacturing site. The study population was drawn from of 14 companies representing 42 production sites in 17 states. The highest concentration of sites (representing almost half of the employees) was in California. The average number of employees per site was 3,600 with a range from 100 to over 6,000 employees. Thirty-seven percent of the fabrication employees were nonwhite; all but one company were nonunion. There were actually three different components to the study: a crosssectional component;[8][9] an historical cohort component;[10] and a prospective component.[11] Central to each of these studies was an exposure assessment.[12][13] This exposure assessment categorized the study subjects into related exposure groups and assigned them a relative ranking (i.e., high exposure, low exposure, etc.). Historical Cohort Component.[10] Overview. The historical cohort [10] study was designed to specifically determine if work in semiconductor fabs presented a risk from during the period 1986 to 1989. To be eligible for inclusion in the study, a woman must have been between the ages of 18 and 44, and have become pregnant while working full-time in the semiconductor industry between 1986 and 1989. One site was selected from each of the 14 participating companies. A miscarriage was defined as a pregnancy that terminated before 20 weeks gestation. A pregnancy that ended after 20 weeks gestation but did not produce a live birth was defined as a stillbirth. This definition was the same as the UMASS study but different than the Johns Hopkins University (JHU) study.[15] In the historical study, 7,269 women were selected from 14 companies for a telephone interview. After screening through the telephone interview and eliminating ineligible women, 6,088 women remained. The most common reason for exclusion was an invalid telephone number or address. Of the 6,088 women, 953 had eligible pregnancies. Nine hundred four (904) of the 953 women completed an indepth interview covering work history, reproductive history, and personal habits. If a woman had more than one pregnancy, one was selected at random for inclusion in the study. A total of 891 verified eligible pregnancies were identified. A verified pregnancy was one that was diagnosed medically or reported by a study participant and verified through a telephone interview with a designated physician on the study team. Data collection instruments were available in English and Spanish.
48
Semiconductor Safety Handbook
Classification as fab or non-fab worker was made by the study industrial hygienists based on responses to questions during the interview on work history.[13] Assignment to a work group was based on both the responses on the questionnaire and the industrial hygiene assessments of the workplace. Fabrication workers (except for maintenance workers, supervisors and engineers) were split into two “supergroups:” masking, and dopefilm. The masking supergroup was composed of workers in the photo application and the etching operations. The dopefilm supergroup, as the name implies, included workers in thin films, ion implant, and furnace operations. The referent group was composed of non-fab workers from office and non-office settings. Results. The historical component of the study indicated that the relative risk for fabrication workers when compared to non-fabrication workers was 1.45 (95% CIE 1.02, 2.05) which was a 45% increase in risk relative to women who did not work in the fabrication area. Since the 95% confidence interval estimate (CIE) did not encompass the value 1.0 (equal risk), the observed difference was probably not due to random factors. The 95% CIE can be interpreted as representing reasonable ranges of relative risk for the population based on the sample drawn in the study. From another perspective, if additional samples were drawn from the population in same manner, 95% of the time the relative risk would lie between 1.02 and 2.05. Information was collected on a number of other risk factors during the interview process. These data were then used to adjust the relative risk estimate to remove the effect of these other factors. Adjusting the relative risk for another potential risk factor means that the effect of that risk factor is held constant across groups and not allowed to influence the outcome. “Adjusting” for a risk factor such as smoking can be thought of as asking the question: “What would the risk be if they didn’t smoke?” When the relative risk for miscarriage was adjusted for smoking (RR = 1.34), age (RR = 1.81) and having had a prior miscarriage (RR = 1.64), the effect of the workplace remained; in fact, it sometimes increased (e.g., age and prior miscarriage). In addition, women who reported a high level of stress in their work had a relative risk of 2.18. Adjusting for recognized confounding variables did not appreciably change the estimate of risk (RR = 1.43). However, the 95% confidence interval did include 1.0 indicating a lack of statistical significance at p < 0.05. The highest risk group identified in the historical component of the study was the masking supergroup with an adjusted relative risk of 1.78.
Injury and Illness of Semiconductor Workers
49
These women worked in photolithography or etching operations. The strongest effect was seen for those women performing etching operations (RR = 2.15). Another important finding was that the risk of miscarriage for women who worked with any photoresist or developer displayed a dose response relationship (i.e., the more exposure, the higher the risk). Increased risk was also identified for exposure to fluoride, although such exposures did not demonstrate a clear dose response relationship. When the data were examined in greater detail, the dose response relationship was evident for EGE but not for PGE (propylene glycol ethers). These analyses must be viewed with a fair amount of caution because pure exposures to either EGE or PGE could not be identified, and the workers were in an atmosphere of mixtures of a number of chemicals. Also, the exposure categorization was not based directly on air measurements but rather on an exposure algorithm. Nonetheless, a demonstrated dose response relationship was observed from these data. Prospective Cohort Component.[11] Overview. The prospective study[11] was designed to assess whether there was an effect on women who currently worked in the semiconductor fabs. In this component of the study, women were selected who were at risk of becoming pregnant and who were willing to participate in the study. These women were then followed forward in time, and their exposures were assessed along with the outcome variables (e.g. pregnancy, miscarriage, and menstrual cycle changes). Daily urine samples were collected and analyzed for HcG (human chorionic gonadotropin), an hormonal indicator of pregnancy. Using HcG, pregnancies may be detected which would otherwise go unidentified. It was estimated that by using this approach, pregnancies could be diagnosed 8–9 days after conception. This was in contrast to other methods which often required 4–6 weeks, or more, following conception for recognition of pregnancy. To select women for inclusion in the prospective component of the study, 2,639 women completed a questionnaire to determine their eligibility. To be eligible a woman must have been at risk of becoming pregnant (i.e. not sterilized, etc). Four hundred fourteen (414) women were selected from California and Utah semiconductor fabs and followed. Daily urine samples were submitted, standardized interviews were conducted, and daily diaries were submitted by the study participants. Information on sexual activities, menstrual cycle length and variability, confounding exposures, work activities and exposures were collected. The urine samples were analyzed by the UC Davis Endocrine Laboratory.
50
Semiconductor Safety Handbook
Results. An increased rate of miscarriage (although not statistically significant at p = 0.05) for fabrication workers was also observed in the prospective component (RR = 1.34 95% CIE 0.82, 2.20). The 414 women experienced only 57 pregnancies: 20 in fabrication workers, 37 in nonfabrication workers. Of the 20 fab pregnancies, 6 (30%) resulted in live births. This compares to 20 (54%) live births in the non-fab women. Overall, 60% of the pregnancies (both occult and clinical) resulted in miscarriage in the fab group and 43% in the non-fab group. In the masking supergroup, the risk ratio increased to 1.47 and for the dopefilm supergroup it rose slightly more to 1.57. None of these findings were statistically significant at p = 0.05. The small number of pregnancies significantly reduced the statistical power of the study. In fact, reduced fertility was one of the findings of the study. Women who worked in a fab were less likely to become pregnant than those who did not. The crude fecundity ratios (FR) (probability of becoming pregnant in one menstrual cycle for fab vs non-fab women) was not statistically significant at p = 0.05. However, when logistic regression was used to adjust the FR for potential confounders, the FR was statistically significantly reduced for fab workers (FR = 0.51 95% CIE 0.27, 0.95). Since the sample size was so small, other analyses had little statistical power to identify effects. Analysis by agent indicated an increased risk for those women who worked with EGE (ethylene glycol monoethyl ether) but was based on only three pregnancies. One important finding was the general support for, and no contradiction of, the findings of the historical component. Cross-Sectional Component.[8][9] Overview. The cross-sectional component[8][9] of the study was conducted at eight sites. Eight different companies were represented. The sites were randomly selected using a stratified random sampling plan. This sampling plan was developed to ensure a representative sample of company sizes. The main objective of the cross-sectional component was to assess fertility and 23 nonreproductive health effects . The cross-sectional component was considered hypothesis generating rather than hypothesis testing. Both male and female fab and non-fab workers were included. A total of 3,175 workers participated in the study; 51% were female, 53% were fabrication workers. Overall, the average participation rate was 78%. Participation rates at the eight sites ranged from 64% to 90%. Participation rates for men and women were 77% and 79%, respectively. Questionnaires were developed primarily
Injury and Illness of Semiconductor Workers
51
from existing validated questionnaires (e.g., National Health and Nutrition Examination Study [NHANES]; the Neurobehavioral Evaluation System [NES]). Questions on fertility were adapted from Levine, 1980. Industrial hygiene and job exposure questions were developed especially for this study. The questions covered general health, demographic characteristics, job activities and exposures, income, personal habits, education, income, and medical history. A final section of the questionnaire covered fertility and was completed by males only. The objective of this section was to assess whether work in wafer fabrication affected males by decreasing fertility. Examples of the nonreproductive endpoints measured were headaches, nausea, upper respiratory symptoms, lower respiratory symptoms, persistent wheezing, carpal tunnel syndrome, elbow pain, back pain, neck pain, alopecia, dermatitis, and anxiety (measured using a somatization index). Results. Upper respiratory symptoms were elevated and demonstrated a dose response relationship (odds ratio (OR) = 1.29 95%; CIE 1.09, 153). This trend was also evident in the work group analysis, primarily in the furnace and thin film groups. Lower respiratory symptoms were elevated slightly among fab workers (OR = 1.18; 95% CIE 1.00,1.39) but did not display a dose response relationship. The furnace group as in the previous case demonstrated a higher risk (OR = 1.57; 95% CIE 1.10, 1.23). Overall, persistent wheezing was elevated in fab workers. The furnace and thin film groups demonstrated the highest risk (OR = 3.91; 95% CIE 1.36, 11.3), followed by the etch and photo groups (OR = 2.96; 95% CIE 1.12, 7.82). One of the factors known to be associated with respiratory symptoms is cigarette smoking. When smokers were compared to nonsmokers, the odds ratio for the chronic cough was 7.8, for chronic phlegm production the odds ratio was 4.4 and for persistent wheeze it was 3.2. An interesting finding, not mentioned by the UC Davis study team in their final report, was the identification of apparent protective effects of various engineering controls, e.g., footrests and adjustable chairs to reduce back injuries. Exposure Assessment Component.[12][13] Overview. The objective of exposure assessment in the context of an epidemiological study is to categorize the study subjects in groups representing different exposures. The challenge was substantial in the UC Davis study in that 14 companies were participating in many different locations with different products being produced. The exposure assessment team developed a three-tiered approach
52
Semiconductor Safety Handbook
to exposure assessment.[12][13] At the most basic level, the subjects were categorized as fab or non-fab. Next, the subjects were placed in work groups where individuals in a work group had similar exposures. Lastly, an individual exposure profile was developed for selected chemical and physical agents. Individual exposure profiles (IEP’s) were developed for chemical agents, physical agents, ergonomic stressors, and VDT’s. The IEP’s were not developed for all agents in the fab but for selected target agents. Algorithms were developed to assign numbers indicating intensity of exposure (0–3), with 3 being the highest exposure category. A personal exposure score was then calculated (numerically) for each individual based on the operations they performed, the frequency with which they performed the operations, and the type of controls that were present. The information necessary to assign subjects to exposure groups came from several sources: • company notebooks • subject questionnaires • site visits by study industrial hygienists The final exposure groups were as follows: 1. Referent group (non-fab) a. office b. non-office 2. Exposed group (fab) a. operators and line technicians (i) masking supergroup (ii) photo and etch b. dopefilm supergroup (i) furnace (ii) thin film c. supervisors and engineers Results. The air measurements made in the fabs found that chemical exposures ranged from none detected to 11 ppm for acetone. Personal air samples were taken for fluorides, a number of solvents and ELF-MF (extremely low frequency magnetic fields).[14] For fluorides, 68 individuals were sampled in 35 fabs. The average concentration was 0.01 ppm with the
Injury and Illness of Semiconductor Workers
53
highest sample being 0.4 ppm. This contrasts to the OSHA PEL of 3.0 ppm. For solvents, 66 individuals were sampled at 35 fabs. Since analytical techniques vary for certain solvents, 115 actual solvent samples were taken. The average concentration was 0.701 ppm. Solvent exposures were <1% of the OSHA PEL’s except for one sample of 2-ethoxy ethanol acetate which was 15% of the 5 ppm TLV published by the ACGIH (American Conference of Governmental Industrial Hygienists). The recommended exposure level (REL) for 2-ME (2-methoxy ethanol) is 0.1 ppm. None of the samples taken exceeded 10% of the REL for 2-ME. One sample did exceed the REL for 2-EEA (2-ethoxy ethanol acetate) and 6 were greater than 10% of the REL. Acetone was the highest exposure at 11 ppm. Extremely low frequency magnetic field (ELF-MF) exposures were highest for diffusion furnace operators at over 44 mG. The lowest exposed group was the microscope workers at 3.1 mG. For comparison, it was noted in the study that electric blankets at 2" produce an exposure of 30 mG and an upright vacuum cleaner at 2–3' produces an exposure of 30 mG.
3.3
Johns Hopkins University-IBM Study (JHU)[15]
Following the announcement of the results of the UMASS-Digital Equipment Corporation study, IBM requested JHU to perform two epidemiological studies at IBM semiconductor plants. The request was for an historical cohort study and a prospective study. The objectives of the studies were to determine if “…there was an excess of adverse reproductive health effects among clean room employees, compared with employees working in other manufacturing areas or non-manufacturing environments; and to determine whether any specific processes or chemicals were associated with adverse reproductive health outcomes.” With this two-study approach, JHU was able to assess both current and past risks. Historical Cohort Study. Overview. The historical cohort study covered the period from 1980–1990, the prospective study covered the period from June 1989–September 1991. For a married male or female to be eligible for inclusion in the historical cohort study, he or she must have been between 20 and 44 years of age, have worked at IBM for at least 6 months, and not have undergone surgical sterilization prior to 1980. Wives of the male employees were included in the study. In addition, a sample of women voluntarily terminated from IBM was included. Detailed work histories
54
Semiconductor Safety Handbook
were obtained from IBM personnel files while the questionnaires focused on a detailed reproductive history, chemical exposures and processes, and other potentially confounding exposures such as alcohol and tobacco use. In total, 1,963 women and 1,260 males and their wives were interviewed. Based on the exposure assessment, subjects were categorized into cleanroom workers, non-manufacturing and other manufacturing. Data were analyzed for each site and both sites combined. Results. The overall miscarriage rate observed in the study was 15.9%. For women in cleanrooms, the rate of miscarriage was 16.7%; for non-clean room workers it was 15.1%. The odds ratio (OR) for clean room workers was 1.4 with a 95% CIE of 0.9, 1.9; which is not statistically significant at p = 0.05. In “other manufacturing,” the miscarriage rate was 11.6% and 17.9% for non-manufacturing. The reason for the low miscarriage rate in the “other manufacturing” group could not be identified in the study. It was postulated that some self-selection may have been present but no supporting evidence was provided. Overall, cleanroom women were comparable to non-manufacturing women but were at significantly greater risk than the other manufacturing women (OR = 1.7; 95% CIE 1.1, 2.7). Wives of male employees had a miscarriage rate of 14.4%. There were no differences between wives of male cleanroom workers (14.1%) and wives of male workers from other manufacturing (14.1%). Because of the small sample size of males in the non-manufacturing group, no comparisons were made for this group. A more refined analysis was conducted using internal comparisons within the cleanroom. The objective of these analyses was to attempt to identify areas/operations of risk within the cleanroom. These analyses indicated that women who reported high potential risk of exposures to EGE-based photoresist chemicals were at higher risk of miscarriages than women who did not report this exposure. Women who reported high potential exposures to EGE-based photoresists had a miscarriage rate of 33% while those reporting no required use of EGE-based photoresists had a miscarriage rate of 14.8%— more than a twofold increase in risk. When adjusted for potential confounders, the relative risk rose to 2.8 with a 95% CIE of 1.4, 5.6. Prospective Cohort Study. Overview. A total of 148 current female employees were recruited to participate in the prospective cohort study. To be eligible, the woman must have been under 40 years of age; planning a family; not using hormonal contraception or have been surgically sterilized. These 148 women were required to take daily urine
Injury and Illness of Semiconductor Workers
55
samples and store them frozen at home. The JHU researchers would periodically pick up the samples at the employee’s work site. The frozen urine samples were then analyzed by the JHU Reproductive Endocrinology Laboratory for hCG and ovarian steroid hormone metabolites. The hCG was used to detect early pregnancy, the ovarian steroid hormone metabolites were used to track the menstrual cycle. The participants in the prospective study were required to complete the same interview that was used in the historical cohort study. In addition, the subjects kept a daily diary collecting information on work activities, menstruation, intercourse, etc. The same exposure classification scheme used in the historical cohort study was used for the prospective study. Results. In total, 92 pregnancies occurred in 1157 menstrual cycles in the 148 women. The average number of cycles contributed per woman was 7.7. Of the 92 pregnancies, 60 were clinical pregnancies, 32 were occult. The average pregnancy rate per cycle for cleanroom women was 11.8%; for non-cleanroom women it was 15.9%. The rates of subclinical miscarriage was 56.8% among women in clean rooms; 47.5% for nonmanufacturing women; and 25% for other manufacturing. None of the differences were statistically significant at p = 0.05. For clinical miscarriages, the rate for cleanroom women was 32.1%; for non-cleanroom women it was 15.6%, again, not statistically significant. Although only based on 6 pregnancies, cleanroom women who reported work with EGEbased photoresists and solvents experienced a 66.6% miscarriage rate compared to non-cleanroom women with a rate of 43.8%. The difference was not statistically significant at p = 0.05. Exposure Assessment Component. The first level of categorization based on exposure similarities was the cleanroom, other manufacturing and non-manufacturing. The second level linked the manufacturing jobs with specific chemical exposures. This was accomplished using PRCUM (process required chemical use matrix). The third level used process specific chemical monitoring data to construct a JEM (job exposure matrix). The fourth and last level of grouping used the ZEM (zone exposure matrix) which defines groups of similarly exposed employees. In addition, within the zone, “near field” and “far field” exposures were differentiated. The initial list of 300 chemicals was reduced down to a list of 30 chemicals. Actual industrial hygiene monitoring data were used to develop the JEM as long as the data were of sufficient quality. Some of the air sampling data were not easily validated, so many samples from the East Fishkill site could not be used.
56
Semiconductor Safety Handbook
3.4
Discussion of Reproductive Epidemiology in the Semiconductor Industry
These three studies are remarkable in the scope and similarity of results. Often in epidemiology studies, results are conflicting or are equivocal. These studies all produced findings with general agreement. They all demonstrated approximately a twofold increase in risk of spontaneous abortion for female semiconductor fab workers. Two of the studies (Johns Hopkins and UC Davis) indicated a possible association of miscarriages with exposures to certain glycol ethers. The UMASS study found that the photo group (glycol ether exposed group) had less risk than the diffusion group (which had no documented glycol ether exposure). The small sample size in that study may have been a factor in that the diffusion group results (RR = 2.18) and the photo group results (RR = 1.75) were statistically indistinguishable at p = 0.05. It seems clear from these studies that an increased risk of spontaneous abortion was documented among female fabrication workers. However, the cause of the excess risk was less clear. The Johns Hopkins study did not significantly link glycol ethers to the outcomes in the report it issued, and the UC Davis study only marginally linked glycol ethers (through modeling of exposures and self-reported work practices) to spontaneous abortions. In both of the studies, little or no monitoring was performed to determine exposures to glycol ethers. The industry is now switching from ethylene series glycol ethers to substitutes such as ethyl lactate and propylene series glycol ethers. It is possible that in the future, the urine samples taken during the Johns Hopkins study and the UC Davis study will be analyzed for glycol ethers (or metabolites) and some estimate of the true association between exposures and outcomes will be available.
4.0
CONCLUSION
The U.S. semiconductor industry has been a model for worker safety and health programs development. The ability to maintain an ongoing, industry-sponsored system of worker injury and illness surveillance for more than a decade has been unique in the American work force. Industry sponsorship of several, independent epidemiologic studies has represented a unified commitment to find answers to questions of reproductive health
Injury and Illness of Semiconductor Workers
57
consequences related to employment in the industry. Although definitive answers to many questions of cause and effect remain elusive, it is clear that few if any U.S. industries possess a better understanding of worker safety and health issues than the semiconductor industry.
REFERENCES 1. LaDou, J., Health Issues in the Microelectronics Industry, in Occupational Medicine State of the Art Reviews: The Microelectronics Industry, (J. LaDou, ed.) pp 1–11, Hanley and Belfus, Inc., Phil. (1986) 2. Occupational Injuries and Illnesses: Counts, Rates and Characteristics, 1993, US BLS; Annual Survey of Occupational Injuries and Illnesses in the Semiconductor Industry, 1993, Occupational Health System of the Semiconductor Industry Association (SIA) 3. Occupational Injuries and Illnesses in the United States by Industry, 1971–1994, US BLS 4. Hazard Assessment and Control Technology in Semiconductor Manufacturing, (ACGIH), Lewis Publishers, Chelsea, MI (1989) 5. Hazard Assessment and Control Technology in Semiconductor Manufacturing II, ACGIH, Cincinnati, OH (1993) 6. Pastides, H., Calabrese, E. J, Hosmer, D. W, Jr., and Harris, D. R., Spontaneous abortion and general illness symptoms among semiconductor manufacturers, J. Occup. Med., 30:543–551 (1988) 7. Schenker, M. B., et al., Am. J. Indust. Med., 28 (1995) 8. McCurdy, S. A., Pocekay, D., Hammond, K. S., Woskie, S. R., Samuels, S. J., and Schenker, M. A., A cross-sectional survey of respiratory and general health outcomes among semiconductor industry workers, Am. J. Indust. Med., 28 (1995) 9. Pocekay, D., McCurdy, S. A., Samuels, S. J., and Schenker, M. B., Musculoskeletal symptoms and ergonomic risk factors in semiconductor workers, Am. J. Indust. Med., 28 (1995) 10. Schenker, M. B., Gold, E. B., Hammond, S. K., Beaumont, J., Eskenazi, B., Lasley, B. L., McCurdy, S. A., Samuels, S. J., Saiki, C. L, and Swan, S. H., The association of spontaneous abortion and other reproductive effects with work in the semiconductor industry, Am. J. Indust. Med., 28 (1995) 11. Eskenazi, B., Gold, E. B., Lasley, B., Samuels, S. J., Hammond, S. K., Wright, S., Razor, M. O., Hines, C. J., and Schenker, M. B., Prospective monitoring of early fetal loss and clinical spontaneous abortion among female semiconductor workers, Am. J. Indust. Med., 28 (1995)
58
Semiconductor Safety Handbook
12. Hines, C. J., Selvin, S., Samuels, S. J., Hammond, S. K., Woskie, S. R., Hallock, M. F. and Schenker, M. B. Hierarchical cluster analysis for exposure assessment of workers in the Semiconductor Health Study, Am. J. Indust Med., 28 (1995) 13. Hammond, S. K., Hines, C. J., Woskie, S. R., Abdollahzadeh, S., Iden, C. R., Anson, E., Ramsey, F. and Schenker, M. B., The tiered exposure assessment strategy in the Semiconductor Health Study, Am. J. Indust Med., 28 (1995) 14. Abdollahzadeh, S., Hammond, S. K., and Schenker, M. A., A model for assessing occupational exposure to extremely low-frequency magnetic fields in fabrication rooms in the Semiconductor Health Study, Am. J. Indust Med., 28 (1995) 15. Gray, R. H., Corn, M., Cohen, R., Correa, A., Hakim, R., Hou, W., Shah, F., and Zauer, H., Final Report: The Johns Hopkins University Retrospective and Prospective Studies of Reproductive Health Among IBM Employees in Semiconductor Manufacturing, The Johns Hopkins University, Baltimore, MD (1993)
Environmental Compliance
59
2 Environmental Compliance in the Semiconductor Industry: Detection, Correction and Prevention Robert G. Kuykendall and Rollin C. Chew
1.0
INTRODUCTION
Regardless of our backgrounds or present positions, we are amazed at the scope and rate of change that has occurred in the semiconductor industry. The pace of innovation in the research and development, marketing, and manufacture of semiconductor devices continues unabated. Semiconductors pervade our daily lives, turning up in our ATMs, cellular phones, personal computers, musical instruments, children’s toys, traffic control equipment, and even the supermarket checkout stand. Semiconductor devices literally can be found everywhere we turn and provide us with an improved quality of life. The manufacture of semiconductor devices requires the use of a great variety of chemicals, specialized manufacturing techniques and equipment, 59
60
Semiconductor Safety Handbook
and extraordinary measures to properly manage chemical by-products and wastes which may endanger human health and the environment. Concurrent with the great expansion in the semiconductor industry, although not directly attributable, has come an explosion of laws, regulations, and other requirements. Many believe that the regulatory burden placed on the industry by environmental laws stifles innovation, increases costs for research, development, and manufacturing, creates competitive barriers, and makes compliance difficult. However, just as the semiconductor industry will continue to innovate, environmental regulations will continue to be promulgated by a host of federal, state, regional, and local agencies—all with the best intentions in mind. The objectives of this chapter of the Semiconductor Safety Handbook are to provide the reader with background and to suggest approaches that can assist in developing, implementing, and managing effective environmental compliance programs for a wide range of semiconductor facilities and operations. Our basic thesis is that compliance with environmental regulations can be achieved through use of a model for the detection, correction, mitigation, and prevention of environmental compliance and management concerns. The semiconductor industry involves diverse operations, various manufacturing processes, widespread geographic locations (domestic and offshore), rapid changes from research through manufacturing and product commercialization, and extensive use of chemicals. Typical semiconductor, integrated circuit, printed circuit board, or instrumentation manufacturing facilities may include several buildings employing from 100 to over 3,000 personnel, as well as satellite locations. Key facility operations may include the following: • Manufacturing and Testing of Circuit Boards. Wave wash machines and freon distillation units, as well as elementary neutralization and metals reduction pretreatment systems are commonly required. • Integrated Circuit Chip Manufacturing. Main operations include fab shops, cleanrooms, and chemistry laboratories. Acid waste and waste waters may go to a waste water treatment system before discharge to the local waste water treatment plant. Various vapor degreasers, wave solder, and
Environmental Compliance
61
thermal units may emit volatile organic emissions through permitted vents and stacks with and without scrubbing systems. Toxic gases may be used in large volumes requiring additional hazardous materials handling systems and controls. • Printed Circuit Boards. Manufacture of printed circuit boards generates spent solvents, photo resist skins, filters, and etch and plating solutions. Numerous air permits are usually required of these types of operations for both fixed and mobile equipment moved around the manufacturing areas. Underground storage tanks for chemical products and waste accumulation or waste treatment (neutralization or metals reduction) are typically used. • Support Facilities. Hazardous materials, hazardous wastes, and other chemicals may be stored in various buildings and structures in close proximity to main facility operations. Vehicle maintenance and grounds maintenance operations are common, using oils, petroleum products, pesticides, and building maintenance products. These supplies are usually stored in segregated facilities and are subject to a number of regulatory permits and compliance requirements, including spill prevention, response and control. There are many different types of facility configurations in the industry. Table 1 highlights typical chemicals present at a semiconductor/ integrated circuit manufacturing facility. It is not intended to be a complete or exhaustive listing.
2.0
EXTERNAL AND INTERNAL SOURCES AFFECTING COMPLIANCE
Establishing an effective environmental compliance program requires a balanced approach using technical capabilities and knowledgeable personnel, and working in an environment of regulatory controls influenced by societal needs and demands. The ability to balance the internal resources (i.e., technical capabilities and knowledgeable personnel) with the external needs (i.e., regulators and societal demands) will determine the success of any environmental program.
62
Semiconductor Safety Handbook
Table 1. Chemicals Commonly Present at Semiconductor Plants
Acids
Acetic
Hydrochloric
Phosphoric
EDTA (ethylenediamine/
Hydrofluoric
Sulfuric
tetracetic acid) Alcohols
Bases/Caustics
Gases
Metals
Salts
Nitric
Butyl alcohol
Isobutyl alcohol
N-propanol
Ester alcohol
Isopropyl alcohol
2-propanol
Ethanol
Methanol
Ammonia
Magnesium hydroxide
Ammonium hydroxide
Potassium hydroxide
Acetylene
Helium
Argon
Hydrogen
Silane
Arsine
Hydrogen chloride
Sulfur dioxide
Sodium hydroxide
Phosphine
Carbon dioxide
Hydrogen fluoride
Sulfur hexafluoride
Carbon monoxide
Nitrogen dioxide
Tetrafluoromethane
Chlorine
Nitric oxide
Trifluoromethane
Dinitrogen monoxide
Nitrogen trifluoride
(Halocarbon 23)
Dibroane/nitrogen mix
Oxygen/nitrogen mix
Arsenic & compounds
Gallium
Nickel & compounds
Beryllium
Gold
Rhodium
Cobalt
Lead
Silver
Copper
Mercury
Zinc & compounds
Ammonium Fluoride
Chromium trioxide
Tetraethyleortho silicate
(etchants)
Cupric nitrate
Trimethyl borate
Boron trichloride
Ferrous sulfate
Tungsten hexafluoride
Volatile Organic Acetone
Ethyl lactate
N-butyl acetate
Compounds
Benzene
Hexafluoroethane (Freon
N-methyl pyrrolidone
Cellusolve (various)
116)
Perchlorethylene
Chlorotrifluoromethane (Freon 13)
Hexamethyldisilazine
Perfluoroalkylether
Dichlorosilane
Hexane
Toluene
Diethylsilane
Methylene chloride
1.1.1 Trichloroethane
2-ethoxyethyl acetate
Methyl ethyl ketone
Trichlorotrifluoromethane
Ethyl acetate
Monoethanolamine
(Freon TMC)
Ethylene glycol
M-pyrrol
Xylene
(cont'd)
Environmental Compliance
63
Table 1. (cont'd)
Other
Arsenicals (various)
(including
Carbon fibers
and acids)
Strippers
proprietary
Cleaners/Detergents
Oils
Thermoplastic polymers
materials)
Developers
Paints
Water
Dopants
Photoresists
2.1
Etchants (various cyanides Posistrip
External Factors Affecting Compliance
In describing society’s impact on industrial operations, a Forbes[1] magazine article discussed the delicate balance between personal needs and societal demands of industry in an article entitled, “Can we have a cleaner environment and Pampers too?” Most Americans believe in the need for a cleaner environment, but not if it involves much greater cost or sacrifice. These arguments have affected environmental policy and have had an ultimate effect on environmental regulations for companies. On a personal level, it raises the question of whether we are willing to ban the sale and manufacture of lighter fluids for barbecues, restrict the use of our personal automobiles, or limit pesticide usage in our vegetable gardens. Or what about the spotted owl, alar on our apples, and the use of Pampers? In an industrial context, there are CFCs for electronic parts cleaning, arsine/phosphine as dopants, and heavy metal discharge from printed circuit board manufacturing. Where are society and industry willing to draw the line in regulating industry? Zachary Willey, a senior economist at the Environmental Defense Fund, a free market environmental group, states that, “The environment is what economists call a ‘public good,’ like national defense, education, and a sound legal system, ‘the environment’ is not something that can be produced and consumed by self-interested individuals at a profit. Yet society depends upon the production of such goods.” A resolution to this dilemma is suggested by Adam Smith who wrote in The Wealth of Nations, the “duty of erecting and maintaining certain public works and certain public institutions...can never be for the interest of any individual, or small number of individuals, to erect and maintain.” Smith goes on to say that we have the “duty of superimposing the industry of private people, and of directing it towards the employments most suitable to
64
Semiconductor Safety Handbook
the interest of the society.” In other words, as the 1993 Forbes article asserts, “environmental policy can and should work through the market. Only if it does can we hope to leave a healthy globe to our grandchildren without forcing them to go back to riding bicycles and scrubbing diapers on an old-fashioned washboard.” However, Adam Smith’s market predictions do not address all of society’s needs for environmental compliance. Current regulatory standards vary from state-to-state, thus setting up inequities in the United States and extending overseas. Compliance in California can be very different from compliance in Arizona, New Mexico, Texas, or Oregon, not to mention compliance in Mexico, the Pacific Rim, or Europe. Society’s conflicting demands provide for interesting debate about the influence of society on the environmental compliance of industry. As Adam Smith further writes, “It is not from the benevolence of the butcher, the brewer, or the baker, that we expect our dinner, but from their regard to their own interest.” Therefore, society, regulators, and industry must work together in seeking alternatives and solutions by means of compromise and sacrifice to achieve effective environmental policy and regulations because it is in their best interest to do so.
2.2
Internal Factors Affecting Compliance
The technical sources required of a facility are determined by the nature of the business—its complexity, the chemical intensity of the operation, and the requirements for engineering controls. The complexity of a business includes the diversity of environmental activities throughout the organization, the number of operating locations, the geographic distribution of sites, and the different types of operating processes. Each element affects compliance by increasing the technical demands on individuals and on the organization. The use of chemicals and their resulting wastes has a great impact on the scope of environmental regulations that apply to an operation, thus dictating the need for competent staffing and engineering controls. Chemically intensive operations can be defined by volume, number, or degree of hazardous materials, hazardous wastes, or effluents that are discharged or maintained at a site. In some instances, engineering technology as it relates to environmental control can be an internal source affecting compliance. This is especially
Environmental Compliance
65
true in high technology businesses where the pace of research, development and manufacturing often outstrip the ability to thoroughly evaluate the impact of the technology and develop controls to address the resulting problems. Therefore, we see environmental engineering remedies lagging behind the processes they were designed to control while regulators are establishing thresholds, guidelines, and regulations that are difficult to achieve. As a stopgap measure, regulators establish Best Management Practices, Best Available Technology, Best Available Control Technology, Best Available Demonstrated Control Technology, Best Available Retrofit Technology, etc., to help industries control environmental emissions to the best of their capabilities and technology while sometimes not achieving the full extent of compliance. However, there are some environmental technology and engineering control systems for high technology industries which have been developed and marketed during the past 10–15 years that have proven effective. Examples of such high tech solutions have been monitoring equipment and abatement systems. Monitoring equipment has been effective in detecting everything from underground storage tank leaks to personnel exposure to toxic gas releases. Abatement systems can reduce air pollutants, clean up contaminated groundwater, and treat waste water effluents. All are examples of high tech solutions for high tech problems that enable companies to comply with the myriad of environmental regulations. Perhaps the most important factor in the success of an environmental compliance program is the capability and skills of personnel responsible for overseeing regulations. Obviously, the primary criterion for selecting environmental personnel is the capability of the individual(s). However, when discussing the skillset of environmental personnel, we can no longer limit our focus to an individual with a degree in engineering, industrial hygiene, or chemistry. The current demands placed on an effective environmental manager extends beyond the physical or life sciences learned in school. Today, the effective environmental manager must be knowledgeable in human resources, business, public relations, government affairs, and management in addition to his or her selected academic discipline. As in other business disciplines, the environmental manager must be knowledgeable in all areas of a business to be able to assess the impact his or her decisions will have on the overall organization. As the costs of environmental remediation, liability/risk, and facility upgrades rise, environmental personnel must have business acumen in order to sell and seek support for environmental projects and programs.
66
Semiconductor Safety Handbook
It goes without saying that environmental personnel must also be technically skilled. The rapidly changing marketplace requires that environmental personnel be knowledgeable in a number of technical areas such as geosciences, environmental engineering, process engineering, regulatory compliance, law, industrial hygiene, health and safety, workers compensation, and risk assessment. Personnel must continue to grow and develop within their field to meet the needs of the changing high tech environment. In addition, environmental personnel must understand that the needs of the company do not always meet the needs of the regulators or the community in which they operate. In fact, opinions and positions can be widely divergent. However, the skilled environmental professional must know the environment in which these organizations, groups, or associations operate. Environmental professionals truly are “lifelong learners” due to the dynamic field that they have selected. Many factors influence environmental staffing, including the skillsets of the current personnel, the diversity of operations, the availability of supplemental support, current versus long-term needs, and organizational structure/authority. The diversity and complexity of an operation can determine the number of employees and the geographical distribution of operations. However, the environmental staff’s effectiveness is diminished if an operation has a large employee population and is geographically dispersed. In addition, large numbers of employees and sites increase the regulatory burden. Staffing at a facility is based on the level of sophistication, knowledge of environmental requirements, and the ability to develop and implement programs that will comply with regulatory requirements. How an organization is structured can determine the type of staffing required. If an organization has environmental functions dispersed throughout the organization to trained and knowledgeable staff, the need for additional professional staffing can be controlled. However, if staff lacks the authority, skills, and/ or the dedication to implement environmentally sound programs, additional staffing will be required. In addition to in-house supplemental staffing, a company can offset the need to increase professional staff by hiring outside consultants and contractors. To meet compliance, the current vs. the long term needs of the organization must be evaluated to properly staff the environmental function. If a company has current needs for additional staff but cannot foresee long term demands, they can utilize alternative staffing such as the use of interns,
Environmental Compliance
67
temporary employees, consultants, and/or contractors. If both short and long term needs are justified, the company should hire additional staff. No matter how many environmental professionals an organization has, environmental compliance will not be achieved if the staff is not empowered and supported by management and throughout the company. The responsibilities of the environmental professionals, therefore, must be clearly defined and their authority must be understood throughout the organization. Additionally, if site management is responsible for environmental functions, their role must be clearly defined and they must be held accountable for their actions. In short, the company must establish a clear organizational framework that allows environmental staff to be successful. In issues affecting compliance, the primary influence comes from the regulatory agencies. They establish and enforce the regulatory framework and to some degree represent the wishes and demands of society at large by protecting human health and the environment. How the regulators implement and execute their responsibilities is subject to debate and can be influenced by a number of factors. Regulatory Status. The number of laws and regulations affecting a site or organization can determine the need for additional staffing. Based on past and current trends, regulatory requirements that will impact the industry in the future include increased demands and enforcement in the area of air, water, and hazardous waste/materials regulations. In order to maintain and keep pace with developing laws and regulations, additional staff may be necessary. Regulatory History. Past history in terms of an organization’s ability to comply with regulatory requirements is one indicator of the need for additional staffing. If past practices have been deficient and if an organization has come under enforcement action as a result of such deficiencies, an evaluation should be conducted to determine the number of staff and area of expertise that would be required to bring the organization into compliance. Regulatory Scrutiny. Particular industries or organizations do occasionally come under greater scrutiny by regulatory agencies as a result of past violations, public attention, or agendas established by the overseeing agencies. If the company sees itself in this type of situation, the support of additional staffing will allow the organization to comply with outstanding issues.
68
Semiconductor Safety Handbook
3.0
DETECTION OF ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES
As we described earlier in this chapter, there is a wide range of external and internal sources which affect the semiconductor facility’s compliance status or its ability to implement its environmental management program. The ability for early identification or detection of environmental problems or issues is one of the most important skills and tools that facility compliance personnel can have. This conclusion is based on the collective experience of industry compliance personnel over the last 10-15 years as they have coped with the sharp increase in the number of environmental regulatory requirements. The increase in the number and range of environmental regulations at the federal, state and local level has made it more difficult to achieve and manage environmental compliance for both single and multiple facility semiconductor companies. The industry has taken several approaches to respond including increases in the level, or resources committed to, environmental compliance and the development and implementation of environmental audit programs. The use of environmental audit programs can serve as the principle tool available for the early detection of facility operations and environmental noncompliance. The U.S. Environmental Protection Agency has adopted a strong environmental auditing policy[2] of encouraging the use of voluntary environmental audit programs by corporations and recognizes these as good faith efforts to achieve and maintain compliance. The EPA will consider the use of voluntary environmental auditing programs by corporations as a mitigation factor in governmental enforcement actions. Particular attention should be paid to the Policy’s Appendix, “Elements of Effective Environmental Auditing,” and Footnote 2, which discusses steps that should be taken to “... go well beyond the type of compliance assessment normally conducted during regulatory inspections, for example, by evaluating policies and practices, regardless of whether they are part of the environmental system or the operating and maintenance procedures.” The EPA policy is included as Appendix A for closer review. An effective company environmental audit program should result in early detection of problems and correction of problems causing noncompliance before they are detected by regulatory agency inspections and become subject to the resulting fines and enforcement actions. Further, the earlier the noncompliance situation can be detected and corrected, the lower the
Environmental Compliance
69
cost will be. There is a direct correlation between detection and prevention of environmental compliance issues. Over the last five to ten years environmental audit programs have been used by semiconductor facility managers to review the efficiency of specific operating units, including research and development, semiconductor fabrication and integrated circuit manufacturing, facilities management, hazardous materials/waste storage, treatment, and disposal, as well as response to chemical releases and their mitigation/remediation.
3.1 Development of Environmental Audit Programs Is one audit like every other audit? Emphatically, not! Audits can be effective for many different applications at a semiconductor facility and can be tailored to meet specific needs of the organization. There is no single correct method to performing audits, but a sound environmental audit program must have a solid foundation including the following: • Environmental auditing must be a fundamental element of the semiconductor company’s overall environmental management commitment • Environmental auditors (internal or external) must have the authority and means to access, compile, evaluate and report the required information • Semiconductor facility management must be encouraged to cooperate in the execution of the audit and correction and prevention of noncompliance issues • Personnel evaluations of both management and operations staff should include an environmental compliance element with specific measures of performance In a February 1984[3] report to the U.S. Environmental Protection Agency entitled “Current Practices in Environmental Auditing,” Arthur D. Little Company reviewed five corporate environmental audit programs. It found that companies established environmental auditing programs for the following purposes: • To determine and document the compliance status of a facility and its operations • To help improve the overall environmental performance at the operating facilities
70
Semiconductor Safety Handbook • To assist facility management • To increase the overall level of environmental awareness • To accelerate the overall development of environmental management control systems • To Improve the environmental risk management system • To protect the corporation from potential liabilities • To develop a basis for optimizing environmental compliance and management resources
The report stated that “though these objectives all address compliance, they can produce differences in program scope and focus. For example, some programs focus on determining present and past compliance over a specified time; others focus on determining compliance only at the time of the audit; still others focus on helping the plant manager achieve and maintain compliance. There is a trend to move beyond auditing compliance status to auditing the environmental management control system (the system of control mechanisms that are in place to ensure compliance). This trend is likely to increase in coming years, since it provides the tools and information needed to assess environmental compliance. It can extend the value of the audit by confirming that appropriate systems are in place and functioning to manage compliance rather than merely determining the compliance status at the time of the audit.” The validity of this conclusion is evidenced by the number of semiconductor firms, both large to small, that have established an environmental audit component as the heart of their environmental compliance/management programs. In developing an environmental audit program for your semiconductor facility, the basic audit process will likely follow that shown in Fig. 1. The basic audit process consists of ten key steps: • Establishment of audit objectives • A preliminary documentation review and pre-audit questionnaire • Review of internal environmental management systems • Assessment of the weaknesses and strengths of facilities/ operations • Compilation of audit information through interviews and document review
Environmental Compliance
71
• Evaluation of audit findings • Audit preview with management • Audit report preparation—draft and final • Post audit follow-up and development of corrective actions plans • Re-audit
Phase I—Pre Audit Planning
• • • • •
Assess Need to Audit Establish Audit Objective Verify Scope of Audit Form Audit Team Complete Logistics
Phase 2—Pre-Audit Preparation
• • • •
Pre-Audit Facility Visit Develop Audit Manual/Questionnaire Preview Audit Documentation & Records Preliminary Document Review
Phase 3—On-Site Audit
• • • • • •
Conduct Entrance Interview Review Facility Management System Conduct Facility Overview Inspection Complete Personnel Interviews Review On-site Records Conduct Exit Briefing
Phase 4—Audit Report
• • • •
Conduct Follow-up Data Compilation Evaluate Audit Findings Post-Audit Team Review Prepare Audit Report (Draft and Final)
Phase 5—Corrective Action Program • Develop Corrective Action Program • Implement Corrective Action Program Phase 6—Audit Follow-up
• Re-audit Facility • Evaluate Corrective Action Program Effectiveness
Figure 1. Environmental compliance auditing process.
72
Semiconductor Safety Handbook
As previously discussed in the chapter, there are many complex facility operations and chemically intensive processes used in the manufacture of semiconductor devices. At a minimum, the scope of an environmental compliance audit program should cover the following: • Air Pollution Control • Water Pollution Control • Solid and Hazardous Waste Management • Hazardous Materials and Toxic Gases Management • Transportation Requirements • Underground and Above Ground Storage Tank Compliance • Emergency Response Plans • Chemical Release Reporting and Notification • Pollution Prevention Programs • Remedial Actions • Facility Management History The audit program must be managed and conducted by individuals who are properly qualified, objective, and impartial. It is recommended that the auditor not participate in the audit of a facility if he/she has production responsibilities at the subject semiconductor facility. In developing the audit program for your specific facility or operation, you should clearly establish the scope of the audits, composition of the audit team, their respective roles and responsibilities, and the on-site and post-site audit procedures. The proposed audit program should be reviewed with appropriate managers for their “buy-in” since you will be working with them to implement specific corrective and preventative programs following the audit. By now it should be clear that significant time and resources must be committed to the pre-audit program, as well as to the actual on-site audit and follow-up activities. Careful planning and thorough preparation are vital to audit program success. The primary function of the on-site audit is to compile information relevant to the specific audit objectives and approved scope. The auditors must be familiar with the specific chemical processes, technologies, and procedures in the manufacturing of semiconductor devices and integrated circuits, the environmental regulations and reporting requirements, and potential corrective measures to be taken.
Environmental Compliance
73
It is the auditor’s job to assess the effectiveness of the environmental compliance and the management control that is in place at the semiconductor facility. Auditing will be principally conducted through the review of documents, files, test data, and interview of key personnel, and by visual observations. The data relating to on-site environmental controls will be compared with information from environmentally compliant/acceptable systems. Among the typical facility records and documents reviewed by the environmental auditor, as well as by regulatory agency inspectors, are those identified by Gregory Lund[4] of Hughes Aircraft Company for their semiconductor operations in Carlsbad, California. These include: A. General Information Major product lines Major operations, chemical processes, assembly, machining Real estate information for owned/leased, acquisition dates, and contact person Previous land use prior to acquisition Surrounding land use-industrial, residential, and schools Discharges/investigations at neighboring facilities Remedial/corrective actions in the last five years Outside consultants used on specific projects Organization chart and “ Chain of Command” B. Responsible Facility Contacts Air quality Recordkeeping Equipment maintenance Release Reporting Air Toxics Training Material/Process Engineering Wastewater Stormwater
74
Semiconductor Safety Handbook Hazardous Materials Hazardous Waste Pesticides Community Right To Know C. Specific Programs Air Quality Permits to operate, construct and applications Violations, including Notices of Violation and Compliance Notices Emission inventory reports for three years SARA 313 Form R for three years New source review balance Solvent usage logs for three years Wastewater Industrial wastewater permit(s) NPDES permits Wastewater monitoring reports Pretreatment system layout Violation notices Correspondence file Sewer lines/discharge points layout Baseline monitoring reports Stormwater Notices of Intent Stormwater pollution prevention and monitoring plans Stormwater permits for industrial and construction Monitoring reports Inspection logs Discharge notifications Correspondence
Environmental Compliance Hazardous Materials Hazardous materials management plans Hazardous materials inventory Storage area layout Inspection logs Agency inspection reports last three years Notices of violations last three years Nuclear Regulatory Commission permits Hazardous Wastes EPA Part A and B permit applications Part B operations plan and permit Emergency response contingency plan Waste analyses and profiles Annual/biennial reports last three years RCRA training plans and records Agency inspection reports and notices of violations TSDF correspondence Closure plans Liability insurance reports /records Variance applications Waste manifests last three years Land disposal restrictions actions TSDF operating records Extremely hazardous waste permits Incident reports last three years Local agency permits and licenses Layout of satellite storage areas/generation points Weekly facility inspection logs
75
76
Semiconductor Safety Handbook Community Right to Know Site business/inventory plan SARA 311, 312, and 313 reports Fire Department inspections/correspondence Hazard Communication Program Material Safety Data Sheet File Spill/release notification records Underground and Aboveground Storage Tanks Registration forms Copy of spill prevention and response plans Facility layout documents Tank installation, materials of construction, and history records Facility inspection logs Notices of violations and compliance notices Financial liability assurance documents Inspection and monitoring records for three years Maintenance records for three years Release/spill notifications Regulatory agency correspondence Toxic Substances and Polychlorinated Biphenyls (PCBs) PCB-containing equipment inventory Inspection logs Disposal manifests Regulatory inspection reports Notices of violations and corrective action plans Annual PCB reports Transformer registration forms Premanufacture notices
Environmental Compliance
77
Asbestos Containing Materials (ACM) Formal management plan/policy ACM Inspection or survey reports Abatement/removal plans, including certifications Agency inspections and notices of violations ACM disposal records Department of Transportation Hazardous materials shipping documentation Hazardous materials incident reports Training records Radioactive materials shipments (above/below thresholds) Vehicle maintenance records Vehicle registrations/licenses EPA notification of transport These are the many types of facility operating, process, and environmental compliance records that the audit team should expect to find at small-, mid-, and large-size semiconductor facilities. If they are not present or are substantially incomplete, there are probably more fundamental problems present which will require greater attention by management. The execution of the on-site portion of the audit is a critical element of the audit process. Semiconductor firms may use several different approaches to staffing the audit team. These are similar to ones used in other industries and include the following: • Self Audit—Internal audit team with technical personnel from the subject facility. In-house attorneys may be included to provide for protection of confidentiality. • Mixed Audit—The audit team may include technical and legal personnel from other semiconductor facilities, with or without counsel. Outside consultants may also be included. • External Audit—The audit team may consist of the following: (i) entirely of technical and legal personnel from the firm’s other semiconductor facilities; (ii) a corporate audit team; or (iii) external environmental consultants, with or without legal counsel.
78
Semiconductor Safety Handbook
Regardless of the audit team’s composition, the audit approach follows essentially the same process as described in Fig. 1. The audit team will generally complete its on-site observations, records review, and interviews in a timely manner. The actual time needed to complete the on-site audit may vary from one to five days, depending on the complexity of the facility and the scope of the environmental compliance audit. After this work is complete, the results of the audit activity will be analyzed, and reported with specific findings and recommendations for corrective action based on the findings. Typically a draft report is prepared and submitted to facility management and in-house counsel for review and comment. A final audit report will then be prepared, followed by development of corrective action plans to address noncompliance issues identified in the audit report. Voluntary audit programs should be undertaken only after prior consideration and decisions are made on use, protection, and disclosure of audit information. This is a case of not asking the question unless you know the answer. Failure to protect the audit program and results within a privileged category may require disclosure. If disclosure/protection have not been considered, the company opens itself to being compelled to disclose audit results to regulatory agencies, or in potential litigation, and to disclose information that demonstrates a need for preventive or corrective actions which the semiconductor management did not act on in a proper and diligent manner. Environmental compliance audits are frequently protected under the “attorney-client or attorney-client work product privileges.” Management, however, cannot protect the basic factual information compiled and evaluated in the audit process. For the attorney-client privilege to apply, four conditions must be present: 1. Communications must be between the attorney and the client (semiconductor management). 2. Attorney communications must be for the purpose of providing legal counsel. 3. Communications between the parties must be confidential. Clearly established information and document handling procedures should be in place and dissemination should be limited. 4. The attorney-client privilege must not have been waived.
Environmental Compliance
79
Although the audit program may be “protected” by the use of attorney-client privilege, the semiconductor facility is not relieved of its obligations to disclose or notify under applicable environmental regulations. Experience with the regulatory agencies has demonstrated that the greatest area of regulatory liability is for failure to disclose or notify them regarding violations which are usually of minor environmental consequence. In addition, the audit manager should not let the use of the attorney-client privilege impede accomplishing the objective, that is, to do the right thing. To assist you in the development of an environmental compliance audit program, a sample environmental compliance and environmental management audit checklist is included in Appendix B of this chapter. The checklist has been developed to cover the eleven subject compliance areas discussed earlier. To maximize the use of the model checklist, each semiconductor facility audit team should tailor it to reflect the scope of their manufacturing activities, specific site conditions, chemical usage patterns, and inclusion of applicable state, regional and local regulatory requirements.
4.0
CORRECTIVE ACTION FOR ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES
The development and implementation of corrective actions for those issues detected in the environmental audit program is critical to the success of corporate and facility environmental management programs. It is also a key indicator of a healthy corporate commitment and involvement. The importance of implementing a corrective action program for audit deficiencies can be gained by a brief review of the Factor for Environmental Compliance[5] in the Federal Guidelines on Sentencing of Organizations[6] (Guidelines) in Appendix C. This Federal law imposes mandatory guidelines to insure the uniform and consistent punishment of violators of federal criminal law. These guidelines apply to almost all federal felonies and Class A misdemeanors committed after 1 November 1991, including environmental, health, and safety regulations. The Sentencing Guidelines provide for mitigating factors including the establishment and implementation of a form of due diligence described as “…an effective program to prevent and detect violation of the law.” Such programs need to be developed for the specific nature, risks, and potential offenses incidental to the corporation’s operations. The corporation is
80
Semiconductor Safety Handbook
required to establish seven components described in detail in the Guidelines. These include the following: • Establish specific standards of conduct • Provide appropriate personnel to staff the (environmental) program • Effectively communicate the standards of conduct to employees • Audit and monitor their compliance • Discipline employees for failure to comply • Revise the program as experience warrants The Guidelines essentially require the development of an effective program that is proactive in detecting, correcting, and preventing potential offenses. In developing your approach for implementing a corrective action program at a semiconductor facility, closely review each of the seven components of due diligence. As a facility manager, facility engineer, or corporate environmental staff, you “must establish compliance standards and procedures for your employees and other agents that are reasonably capable of reducing the prospect of criminal conduct.” You need to establish clear, simple terms for all facility or companywide employees that establish the type of conduct expected and the types of conduct which are prohibited. Appropriate placement for these standards are in new employee orientation programs, training programs, and employee communications (newsletters, policies and procedures, etc.). Next, a specific individual(s) must be identified and “assigned the overall responsibility to oversee compliance with such standards and procedures.” Typically, such individuals may include a senior executive, corporate manager of environmental, health, and safety programs, corporate counsel, facility manager, or the facility environmental coordinator. Whoever is designated should have sufficient authority to implement and manage the due diligence effort, including correction of audit deficiencies. A third key component of the corporation’s due diligence program is that it must “…effectively communicate its standards and procedures to all its employees and other agents.” The inclusion of environmental, health and safety standards and procedures in training programs, orientation sessions, corporate procedures and policy manuals, employee handbooks, and other mechanisms will satisfy this requirement. Documentation of all
Environmental Compliance
81
employee training and annual review of the standards and procedures are necessary proof of compliance. The use of monitoring and audit programs to detect noncompliance by employees will demonstrate that the corporation met the requirement to “...take reasonable steps to achieve compliance with its standards.” The Guidelines recognize that monitoring and auditing systems must be staffed with trained individuals who have authority and resources to conduct effective audits. The results of the audits must be prepared in a written form and reviewed by appropriate responsible personnel. Further, a system must be established for employees to report misconduct without retaliation against those who do the reporting. All such reports, including monitoring and audit reports, subsequent investigations, and resolution of issues need to be fully documented. The Guidelines incorporate a sixth component that states, “the standards must be consistently enforced through an appropriate disciplinary mechanism.” Substantial discretion is given to the corporation to tailor the mechanism so that the discipline reflects the relative seriousness of the employee’s conduct and his position of responsibility. Appropriate actions may include suspension, termination, loss of benefits, or referral to appropriate enforcement agencies. Such steps may be uncomfortable or distasteful to some, but they need to be incorporated into the due diligence program. If an offense has been detected, the corporation “must have taken all reasonable steps to respond to the offense and to prevent further similar offenses.” An organization has to learn from mistakes and experiences and develop further means to prevent their reoccurrence. The Guidelines also specify that the nature, scope, and complexity of the program must correspond with the corporation’s size, scope of operations, risks, and potential for criminal conduct related to the business. The program should also follow good semiconductor industry practices and all applicable environmental regulations (federal, state and local) for its specific location. The corrective action program should be viewed as one key element of the semiconductor facility’s environmental due diligence program. It should be portrayed as an honest effort by the corporation to be a “good corporate citizen” rather than a draconian criminal detection system aimed at employees. The purpose, after all, is to detect, correct, and prevent problems in order that the corporation and individuals can be successful in meeting both your business and environmental management goals and objectives.
82
Semiconductor Safety Handbook
4.1
Use of Audit Program Results
There are several key steps that must be initiated to implement a corrective action program. The most critical one, as seen in review of the Federal Sentencing Guidelines, is to communicate the problem to responsible officials, develop solutions, and implement them in a timely manner. Based on experience, the most effective approach to corrective action is to extensively involve those personnel and organizations where problems were detected, since they are the ones who can most easily identify practical solutions and agree to implement them quickly. This approach is far more effective than having solutions imposed from the outside. Corrective Action Teams (CATs) have been used widely in manufacturing environments during the last ten years. The composition of the CAT may vary from company to company. In the case of several semiconductor companies, its composition has evolved as their compliance programs have matured. A CAT may be composed of the following functional areas: • Facility Manager • Facility Environmental Coordinator (may include Environmental, Health, and Safety or just one function) • Facility Maintenance • Human Resources • Corporate Counsel (Environmental, Health, and Safety) • Corporate Environmental • Other specialized (engineering, and/or outside consultants) Our experience indicates that the CAT should include only those functions and personnel necessary to develop corrective actions and who have responsibility for implementation, both from a management and compliance perspective. A key objective of the CAT is to correct the deficiencies identified as part of the environmental compliance audit program through the use of sound management systems and controls. The CAT must have the following characteristics, including the responsibility, authority, and accountability to act: • A management system with controls must be utilized
Environmental Compliance
83
• An effective communication system is needed to get the word to everyone at the facility of the expected manner and level of behavior • A set of criteria must be used to establish compliance priorities • An achievable schedule will be established and agreed upon by the CAT • A managing, tracking, and monitoring system should be employed to evaluate progress and to aid in communications • Cost controls should be monitored to ensure that adequate resources are expended on corrective actions without excessive spending • Provisions for external reporting to parties outside the CAT should be employed to demonstrate the accomplish of CAT objectives and to enhance “ buy in” by other facility personnel. This will also satisfy potential notification and disclosure requirements of regulatory agencies and inform the community of the facility’s concern for the environment. There are several schools of thought on the longevity of CATs. If their existence is for the purpose of corrective action, they should exist only long enough to achieve that objective. However, if one of the corrective action items is to reorganize the company’s or facility’s overall approach to environmental management and compliance, corporate management should consider continued use of the CAT as an interim activity until a more formalized approach can be developed and implemented. One of the goals of implementing corrective action programs is to change the behavior of the organization over the long term. The CAT may experience some starts and stops along the way, but diligent committed efforts will ensure success in implementing the corrective action program. Past problems detected in the environmental compliance audits should not reoccur as frequently. The development and implementation of a facility program for the mitigation and prevention of environmental compliance and management issues will help over the long term. The benefits of such efforts will manifest themselves in improved operating efficiency, lower regulatory exposure, safer working conditions, and reduced costs.
84
Semiconductor Safety Handbook
5.0
MITIGATION AND PREVENTION OF ENVIRONMENTAL COMPLIANCE AND MANAGEMENT ISSUES
The efforts made by a semiconductor/integrated circuit facility to develop and implement long term mitigation and prevention programs will provide the best return on investment of people, resources, and time. As mentioned earlier in discussing the Federal Sentencing Guidelines, a key part of a sound due diligence program is the existence of a policy to prevent and detect violations of the law. The development and ongoing use of proactive strategies that are integrated into the company’s basic risk management structure is the key to success. The semiconductor/ integrated circuit industry is gaining valuable experience in the development of proactive strategies in the following areas: • Waste Minimization and Source Reduction[8][9] • Pollution Prevention Programs for air, water, and waste media[10][11] • Risk Prevention and Management Plans[12] • Environmental Liabilities[13] • Chemical Procurement • Hazard Communication Programs[14] • Total Quality Management Programs • Designing Products and Manufacturing Operations for Environment Compliance • Community Relations Integration of one or more of these proactive strategies will not only demonstrate potential recognition as a “responsible corporate citizen” but will also help avoid dealing with the Department of Justice and other enforcement authorities! In addition, costs may be reduced along the way through lower chemical usage and compliance costs, fewer environmental releases, less waste disposal fees/taxes, permitting, and liability insurance, and reduced claims.
Environmental Compliance 6.0
85
ADOPTING PROACTIVE ENVIRONMENTAL STRATEGIES
David J. Evans[15] of the University of Wisconsin-Stout wrote an article published in the June 1992 SSA Journal (Semiconductor Safety Association) entitled “An Examination of the Air Toxics Proposals of the Clean Air Act Amendments and their Effects on the Semiconductor Industry.” This article gives an excellent analysis of the potential impact of the Clean Air Act, Title III (hazardous air pollutants) and Title V (permits). Evans identifies potential proactive strategies that a semiconductor facility can adopt to be in a sound position to continue in business. These strategies take into account two significant factors : 1. Title III brings into federal, state and local regulation many key chemicals not previously covered under regulations which are key to the manufacture of semiconductor/integrated circuit devices. 2. Permits will have to be completed for each process change which is not in keeping with the quick technology changes and different manufacturing processes used. Necessary permits will be delayed. Evans analyzed a proactive strategy that falls into four categories: • The use of a compliance matrix to measure chemical emissions against the regulations. This allows the facility to understand the interrelationships between the regulations and lowers the potential cost exposure by matching known and unknown sources with the specific regulatory requirements. • A review of semiconductor industry Maximum Achievable Control Technologies (MACT) will likely result in the use of Generally Available Control Technologies (GACT) being required. Further, new emission control technologies will have to be developed to attain compliance for hazardous air pollutants. Proactive planning must be undertaken to conduct emission risk assessments after adoption of MACT/GACT controls. • Active participation in early reduction of emissions programs can improve the facility’s image in the community, as well as identify sources needing greater attention for improved operating efficiency.
86
Semiconductor Safety Handbook • Individual facilities, companies, and industry associations must work with EPA, state, and local air pollution control agencies on the Title V program and regulations. Permit streamlining processes for major permits must be developed, together with fast-track approaches for minor equipment, chemical, and process changes. Time really is of the essence in this area. Evans’ conclusion captures the entire focus of this chapter: “The integration of risk management techniques into a systematic environmental control system will be necessary for the continued development of the semiconductor industry. The Clean Air Act Amendments will force industry to treat environmental concerns as an integral part of the management system. Integration will lead to the development of proactive strategies to deal with compliance (Ed. emphasis) issues raised by the Act. Once everyone realizes that risk management is their responsibility, empowered people close to the problem and with the authority to act will make significant progress on compliance issues. By being proactive now, companies will be better able to control their destinies. Those companies which are able to effectively plan, organize, control, and lead will be at a distinct advantage in dealing with Clean Air Act compliance.”
Use of integrated risk management techniques and proactive strategies for the amendments to the Clean Water Act, Resource Conservation and Recovery Act, Comprehensive Environmental Response, Compensation and Liability Act, (including SARA amendments), and the Toxic Substances Control Act will help each semiconductor facility to anticipate, achieve, and maintain compliance with applicable environmental regulations without having to go offshore for its manufacturing operations.
7.0
GOING BEYOND COMPLIANCE—HOLISTIC CONSIDERATIONS
The semiconductor industry has enjoyed a well-deserved reputation for demonstrating innovation and leadership in the development of high technologies and new products. In general, it has been perceived as a “clean industry.” In order for the industry to maintain such a perception, however,
Environmental Compliance
87
it must move beyond compliance and take a holistic approach to the management of its environmental issues. Simply put, environmental management must become part of the industry’s fabric and soul. It must permeate everything that is a part of its business operations. Going beyond compliance will require that the industry change its attitudes, policies, procedures, and behavior. This section provides an overview of some significant efforts being made by companies in the 1990s to holistically integrate environmental management into all facets of their operations. A holistic approach positions them for continued business success in the next century.
7.1
The Green Advantage
“Designing for the environment,” “product stewardship,” and “staying green” are all new buzzwords used by manufacturing companies, particularly those in the high technology industry, to describe environmentally conscious companies. Increasingly, manufacturers are beginning to realize that designing products that are environmentally sound can provide a competitive edge and save money in the long run on manufacturing costs. In addition, the side benefits that a company accrues through being “green” include improved corporate image and reduced liability/risk with their products. Business forecasters see environmentalism as a massive worldwide force. Gary Miller, a public policy expert at Washington University in St. Louis states, “In the Nineties, environmentalism will be the cutting edge of social reform and absolutely the most important issue for business.” As companies develop and sell environmentally safe products, competitors must follow suit or fall victim to the competitive advantage that has been established. The Michael Peters Group in New York City concluded in a 1989 survey that nine out of ten American consumers are concerned about the environment and almost eight out of ten consumers are willing to pay for green products. In addition, shareholders of major corporations have made corporate boards accountable for environmental impacts created by their products. As a result, the smartest and most progressive companies are singing the praises of their accomplishments in “saving the environment.” As mentioned in a February 1990 Fortune magazine article: • Du Pont is withdrawing from the $750-million-a-year CFC market due the effects of CFC’s on the ozone layer. • McDonald’s was cited by environmentalists for wasteful packaging until they formed an alliance with the Environmental
88
Semiconductor Safety Handbook Defense Fund and developed a high-profile recycling program. This public relations coup has polished McDonald’s image and distinguished itself from other fast-food competitors. In contrast, its competitor, Burger King Corporation, has been boycotted by environmental groups for its importing of beef from cleared rain forests. • 3M Corporation has “invested” in a number of pollution prevention controls for its manufacturing facilities far beyond what the law requires. • Proctor & Gamble has marketed their products in an environmentally friendly light, for example, the packaging of Tide detergent in 100% recycled paper. • PG&E has teamed up with formerly unfriendly environmental groups to develop joint projects such as a $10 million study of energy efficiency. • During Earth Day’s 20th anniversary in 1990, HewlettPackard Company contributed to the celebration by focusing its philanthropic budget for research and educational grants to environmental groups, loaning office equipment to the Earth Day 1990 international headquarters, and its president and CEO, John Young served on the board of directors of Earth Day 1990.
Companies that have adopted green technology have enjoyed the publicity and image building that has accompanied such commitment. Those companies that have not shared similar attitudes or commitments have and will see market share and profits impacted as consumers demand clean technological products. However, as companies jump on the environmental bandwagon and preach “ecobabble,” you might ask if commitments to environmentally sound products make good business sense. Take, for example, the experience of the Exxon Corporation following the Valdez, Alaska oil spill and its oil seepage into Staten Island’s Arthur Kill waterway. Both incidents made major headlines and 41% of Americans were angry enough to consider boycotting the company. Even after spending over $1 billion on the Valdez cleanup, Exxon has not yet salvaged its reputation. In the competitive race to become green, many companies are forming alliances and collaborating with environmentalists to establish environmental goals and objectives. One such example was the promulgation of the Valdez Principles. Following the Exxon oil release in Valdez, Alaska, a
Environmental Compliance
89
combination of institutional investors controlling $150 billion of assets prepared the Valdez Principles that ask companies to reduce waste, use resources prudently, market safe products, and take responsibility for past harm. Gray Davis, California’s state controller, who helped draft the Valdez Principles and sits on the boards of public pension funds totaling $90 billion says, “The message that leading environmentalists are sending, and progressive companies are receiving, is that eco-responsibility will be good for business.”
7.2
Corporate Environmentalists
So what have corporate presidents and CEOs learned from the environmentalists? A few guiding principles summarize the thoughts of “Corporate Environmentalists:” • “Make environmental considerations and concerns part of any decision you make, right from the beginning. Don’t think of it as something extra you throw into the pot.” • Develop an internal cadre of environmentalists. They have minds of their own and will advocate things. They may not get everything they want, but there certainly are occasions where they prevail.” • “Have a continuing dialogue with environmental groups.” • “Put someone on your board to help you factor in environmental issues.” • “Do these things because they are the right thing to do, not because somebody forces you to do them.” In light of the remarks made by the corporate presidents and CEO’s described above, how does a company become environmentally responsible? In short, successful Corporate Environmentalists follow six basic principles to establish environmental awareness in all aspects of their business: 1. Institutionalize environmental activism in the corporate foundation of the company. 2. Train and educate employees, vendors, sellers, buyers, contractors, etc. about environmental concerns. 3. Disseminate the corporate position on the environment by means of marketing, advertising, public relations, etc.
90
Semiconductor Safety Handbook 4. Design products for the environment that generate less waste, use resources prudently, and are safe. 5. Support environmental causes. 6. Influence others particularly vendors, competitors, trade groups, professional associations, etc.
Environmental activism within a corporation has to be shared by everyone. As with quality of manufactured goods, environmental concern should be part of the fabric of the organization. In order to accomplish this goal, support and commitment to the environment must come from the top— the president, CEO, and senior management. They should set the example, develop the charter, participate in the activities, produce the manifesto, and establish the reward system. Employee involvement is another means of institutionalizing environmental concerns. Most importantly, everyone should be held accountable and responsible for the environmental position of the company. As with the development and training of employees in their respective disciplines and function, corporations need to provide employees with an understanding and training in environmental concerns. Companies must seek out specialized environmental training for employees that pertains to their job responsibilities and interests. Sources for such training include specialized workshops, trade association workshops, regulatory agency presentations, and community college or university programs. Environmental marketing both internally and externally will firmly establish the company’s environmental position while providing image polishing. However, there are cases where green marketing can have a negative effect. Backfiring of environmental marketing, sometimes termed, green-washing, can make a company appear to be merely capitalizing on the environmental movement. Examples of green marketing that have had mixed reviews include: • Ellen Tracy, a women’s clothing designer, ran ads urging readers to support the Nature Conservancy • Shaklee Corporation, a vitamin and biodegradable soap manufacturer, spent $100,000 to use the Earth Day logo in its catalog • Atlantic Richfield Corporation started an ad campaign for a form of less polluting gas
Environmental Compliance
91
• ARCO uses a “Let’s Drive Away Smog” campaign, and Chevron “People Do” commercials tout the environmental concerns of the company In any green marketing effort, the campaign must be truthful and believable. If a company is firmly committed to the environment and has an established track-record in its commitment, however, the internal and external marketing and benefits derived from the activity can be well worth the effort. The greatest impact a company can have on the environment is in the design, development and manufacturing of products using sound environmental practices. Product stewardship embraces the principle that products should be developed that use resources prudently during manufacturing, generate less waste when consumed, and that are safe when used and disposed. Such environmental factors must be considered during the research and development phase of a product. As the CEO said earlier, “Make environmental considerations and concerns part of any decision you make, right from the beginning. Don’t think of it as something extra you throw in the pot.” Many advantages can be gained by supporting environmental causes. As described earlier, McDonald’s collaboration and support of the Environmental Defense Fund has benefitted business while establishing a competitive edge. In an article written by the State Department of Conservation, some simple rules to remember when supporting environmental causes include: • Contribute to groups doing good work. In particular, select environmental organizations that directly impact your industry and your efforts • Select organizations that can result in a symbiotic relationship through shared information and insights • Help organizations understand the concerns of your business. Better mutual understanding among companies and organizations can foster well-founded solutions to problems • Contribute and support organizations that are in your community and that interest your employees. As with other charitable organizations where companies contribute and participate, the doctrine of “giving something back” should also apply to environmental activism. A company’s influence can come at a number of levels:
92
Semiconductor Safety Handbook • Be a role model for other businesses or your industry. • Contribute to environmental study of particular problems facing your industry. • Involve your company in influencing the political process. • Steer your company’s investments to ventures that benefit the environment.
A company’s influence extends beyond its competitors to its suppliers, lenders, services, contractors, consultants, etc. Leverage your relationship with all parties to resolve common environmental problems including the political process. By working within the legislative process, a more balanced approach to environmental solutions and regulations can be achieved. An anecdote that appeared in a Fortune magazine article entitled “Environmentalism: The New Crusade,”[16] summarizes the relationship of the Corporate Environmentalist. “One recent weekday afternoon, three men walked out of the Environmental Defense Fund’s midtown Manhattan office on their way to have lunch together. On the left was EDF’s senior economist. On the right was an environmental expert in the Soviet government. Between them was a businessman, a trader in the nascent enterprise of buying and selling pollution rights. Together that trio forms a picture of how the new environmentalism is shaping up: global, more cooperative than confrontational—and with business at the center.”
REFERENCES AND SOURCE MATERIALS 1. Forbes, pp. 94–100 (March 5, 1993) 2. U.S. Environmental Protection Agency, “Environmental Auditing Policy Statement,” Published at 50 Federal Register 46504 (November 8, 1985); Revised at 51 Federal Register 25004 (July 9, 1986) 3. Arthur D. Little Company, “Current Practices in Environmental Auditing” February 1984, Prepared for U.S. Environmental Protection Agency, Washington, D.C. (1984) 4. Personal Communication, Gregory Lund, Hughes Aircraft Company, Carlsbad, California. Materials presented at 1993 Semiconductor Safety Association Conference, Scottsdale, Arizona.
Environmental Compliance
93
5. U. S. Department of Justice. “Factors in Decision on Criminal Prosecutions for Environmental Violations in the Context of Significant Voluntary Compliance or Disclosure Efforts by the Violator,” Washington, D.C. (1 July 1991) 6. U. S. Department of Justice, Federal Sentencing Guidelines Manual, 1993 Edition, West Publishing Company (1992) 7. Wade, R., Semiconductor Industry Study, California Department of Industrial Relations, Division of Occupational Safety and Health Task Force on the Electronics Industry (1981) 8. Nunno, T., Palmer, S., Arienti, M., Brenton, M., Waste Minimization in the Printed Circuit Board Industry: Case Studies, Alliance Technologies Corp., Bedford, MA, for U. S. Environmental Protection Agency, Hazardous Waste Engineering Research Laboratory, (1988) 9. Ulbrecht, A., Watts, D. J., Waste Reduction Activities and Options for a Manufacturer of Systems to Produce Semiconductors, New Jersey Institute of Technology, Newark, NJ., U.S. Environmental Protection Agency 10. California Department of Health Services, Toxic Substances Control Division, Alternative Technology and Policy Development Section, Guide to Solvent Waste Reduction Alternatives, Final Report, ICF Consulting Associates, Los Angeles (October 1986) 11. California Department of Health Services, Toxic Substances Control Division, Alternative Technology and Policy Control Section, Waste Audit Study - Metal Finishing Industry, PRC Environmental Management, Inc., San Francisco, California, (May 1988) 12. California Department of Health Services, Toxic Substances Control Division, Alternative Technology and Policy Section, Economic Implications of Waste Reduction, Recycling, Treatment and Disposal of Hazardous Waste, 4th Biennial Report, Sacramento, California (1988) 13. American Conference of Governmental Industrial Hygienists, Hazard Assessment and Control Technology in Semiconductor Manufacturing, Lewis Publishers, Chelsea, Michigan (1989) 14. Moyer, C. A., Francis, M. A., Hazard Communication Handbook, A Rightto-Know Compliance Guide, 1993 Edition, Clark, Boardman, Callaghan, Deerfield, Illinois (1992) 15. Evans, D. J., “An Examination of the Air Toxics Proposals for the Clean Air Act Amendments and Their Effects on the Semiconductor Industry,” Semiconductor Safety Journal, (June 1992) 16. Fortune, pp. 44–54 (February 12, 1990) 17. Van Zant, P., Microchip Fabrication: A Practical Guide to Semiconductor Processing, 2nd Edition, McGraw-Hill, New York (1990) 18. Gise, P., Blanchard, R., Semiconductor and Integrated Circuit Fabrication Techniques, Fairchild Corp., Reston Publishing Company, Inc., Reston, Virginia (1979)
94
Semiconductor Safety Handbook
APPENDIX A EPA POLICY ON ENVIRONMENTAL AUDITING (Published at 50 FR 46504, Nov, 8, 1985; Revised at 51 FR 25004, July 9, 1986)
ENVIRONMENTAL AUDITING POLICY STATEMENT II Preamble [Omitted] II. General EPA Policy on Environmental Auditing A. Introduction Environmental auditing is a systematic, documented, periodic and objective review by regulated entities1 of facility operations and practices related to meeting environmental requirements. Audits can be designed to accomplish any or all of the following: verify compliance with environmental requirements; evaluate the effectiveness of environmental management systems already in place; or assess risks from regulated and unregulated materials and practices. Auditing serves as a quality assurance check to help improve the effectiveness of basic environmental management by verifying that management practices are in place, functioning and adequate. Environmental audits evaluate, and are not a substitute for direct compliance activities such as obtaining permits, installing controls, monitoring compliance, reporting violations, and keeping records. Environmen-
tal auditing may verify but does not include activities required by law, regulation or permit (e.g., continuous emissions monitoring, composite correction plans at wastewater treatment plants, etc.). Audits do not in any way replace regulatory agency inspections. However, environmental audits can improve compliance by complementing conventional federal, state and local oversight. The appendix to this policy statement outlines some basic elements of environmental auditing (e.g., auditor independence and top management support) for use by those considering implementation of effective auditing programs to help achieve and maintain compliance. Additional information on environmental auditing practices can be found in various published materials.2 Environmental auditing has developed for sound business reasons, particularly as a means of helping regulated entities manage pollution control affirmatively over time instead of reacting to crises. Auditing can result in improved facility environmental performance, help communicate effective solutions to common environmental problems, focus facility managers’ attention on current and upcoming regulatory requirements, and generate protocols and checklists which help facilities better manage themselves. Auditing also can result in bet2See, e.g., “Current Practices in Environmental Auditing,”
1“Regulated entities” include private firms and public agen-
cies with facilities subject to environmental regulation. Public agencies an include federal, state or local agencies as well as special-purpose organizations such as regional sewage commissions.
EPA Report No. EPA-230-09-83-006, February 1984: “Annotated Bibliography on Environmental Auditing.” Fifth Edition. September 1985, both available from: Regulatory Reform Staff, PM-223, EPA. 401 M Street SW, Washington, DC 20060.
Environmental Compliance
95
ter-integrated management of environmental hazards, since auditors frequently identify environmental liabilities which go beyond regulatory compliance. Companies, public entities and federal facilities have employed a variety of environmental auditing practices in recent years. Several hundred major firms in diverse industries now have environmental auditing programs, although they often are known by other names such as assessment, survey, surveillance, review or appraisal. While auditing has demonstrated its usefulness to those with audit programs, many others still do not audit. Clarification of EPA’s position regarding auditing may help encourage regulated entities to establish audit programs or upgrade systems already in place.
ment, which therefore has a strong incentive to use reasonable means, such as environmental auditing, to secure reliable information of facility compliance status. EPA does not intend to dictate or interfere with the environmental management practices of private or public organizations. Nor does EPA intend to mandate auditing (though in certain instances EPA may seek to include provisions for environmental auditing as part of settlement agreements, as noted below). Because environmental auditing systems have been widely adopted on a voluntary basis in the past, and because audit quality depends to a large degree upon genuine management commitment to the program and its objectives, auditing should remain a voluntary activity.
B. EPA Encourages the Use of Environmental Auditing
III. EPA Policy on Specific Environmental Auditing Issues
EPA encourages regulated entitles to adapt sound environmental management practices to improve environmental performance. In particular, EPA encourages regulated entities subject to environmental regulations to institute environmental auditing programs to help ensure the adequacy of internal systems to achieve, maintain and monitor compliance. Implementation of environmental auditing programs can result in better identification, resolution and avoidance of environmental problems, as well as improvements to management practices. Audits can be conducted effectively by independent internal or third party auditors. Larger organizations generally have greater resources to devote to an internal audit team, while smaller entities might be more likely to use outside auditors. Regulated entities are responsible for taking all necessary steps to ensure compliance with environmental requirements, whether or not they adopt audit programs. Although environmental laws do not require a regulated facility to have an auditing program, ultimate responsibility for the environmental performance of the facility lies with top manage-
A. Agency Requests /or Audit Reports EPA has broad statutory authority to request relevant information on the environmental compliance status of regulated entities. However, EPA believes routine Agency requests for audit reports3 could inhibit auditing in the long run, decreasing both the quantity and quality of audits conducted. Therefore, as a matter of policy, EPA will not routinely request environmental audit reports. EPA’s authority to request an audit report, or relevant portions thereof, will be exercised on a case-by-case basis where Agency determines it is needed to accomplish a statutory mission, or where the Government deems it to be material to a criminal investigation. EPA expects such requests to be limited, most likely focused on particular information needs rather than 3
An “environmental audit report” is a written report which candidly and thoroughly presents findings from a review, conducted as part of an environmental audit as described in Section II.A., of facility environmental performance and practices. An audit report is not a substitute for compliance monitoring reports or other reports or records which may be required by EPA or other regulatory agencies.
96
Semiconductor Safety Handbook
the entire report, and usually made where the information needed cannot be obtained from monitoring, reporting or other data otherwise available to the Agency. Examples would likely include situations where: audits are conducted under consent decrees or other settlement agreements; a company has placed its management practices at issue by raising them as a defense; or state of mind or intent are a relevant element of inquiry, such as during a criminal investigation. This list is illustrative rather than exhaustive, since there doubtless will be other situations, not subject to prediction, in which audit reports rather than information may be required. EPA acknowledges regulated entities’ need to sell-evaluate environmental performance with some measure of privacy and encourages such activity. However, audit reports may not shield monitoring, compliance, or other information that would otherwise be reportable and/or accessible to EPA, even if there is no exploit ‘requirement’ to generate that data.4 Thus, this policy does not alter regulated entities’ existing or future obligations to monitor, record or report information required under environmental statutes, regulations or permits, or to allow EPA access to that information. Nor does this policy alter EPA’s authority to request and receive any relevant information—including that contained in audit reports—under various environmental statutes (e.g., Clean Water Act section 308, Clean Air Act sections 114 and 208) or in other administrative or judicial proceedings. Regulated entities also should be aware that certain audit findings may by law have to be reported to government agencies. However, in addition to any such requirements, EPA encourages regulated entities to notify appropriate State or Federal officials of findings which suggest significant environmental or public health risks, even when not specifically required to do so. 4
See, for example, “Duties to Report or Disclose Information on the Environmental Aspects of Business Activities,” Environmental Law Institute report to EPA, final report, September 1985.
B. EPA Response to Environmental Auditing 1. General Policy EPA will not promise to forgo inspections, reduce enforcement responses, or offer other such incentives in exchange for implementation of environmental auditing or other sound environmental management practices. Indeed, a credible enforcement program provides a strong incentive for regulated entities to audit. Regulatory agencies have an obligation to assess source compliance status independently and cannot eliminate inspections for particular firms or classes of firms. Although environmental audits may complement inspections by providing self-assessment to assure compliance, they are in no way a substitute for regulatory oversight. Moreover, certain statutes (e.g., RCRA) and Agency policies establish minimum facility inspection frequencies to which EPA will adhere. However. EPA will continue to address environmental problems on a priority basis and will consequently inspect facilities with poor environmental records and practices more frequently. Since effective environmental auditing helps management identify and promptly correct actual or potential problems, audited facilities’ environmental performance should improve. Thus, while EPA inspections of self-audited facilities will continue, to the extent that compliance performance is considered in setting inspection priorities, facilities with a good compliance history may be subject to fewer inspections. In fashioning enforcement responses to violations, EPA policy is to take into account, on a case-by-case basis, the honest and genuine efforts of regulated entities to avoid and promptly correct violations and underlying environmental problems. When regulated entities take reasonable precautions to avoid noncompliance, expeditiously correct underlying environmental problems discovered through audits or other means, and implement measures to prevent their recurrence, EPA may
Environmental Compliance exercise its discretion to consider such actions as honest and genuine efforts to assure compliance. Such consideration applies particularly when a regulated entity promptly reports violations or compliance data which otherwise were not required to be recorded or reported to EPA. 2. Audit Provisions as Remedies in Enforcement Actions EPA may propose environmental auditing provisions in consent decrees and in other settlement negotiations where auditing could provide a remedy for identified problems and reduce the likelihood of similar problems recurring in the future.5 Environmental auditing provisions are most likely to be proposed in settlement negotiations where: A pattern of violations can be attributed, at least in pan, to the absence or poor functioning of an environmental management system; or The type or nature of violations indicates a likelihood that similar noncompliance problems may exist or occur elsewhere in the facility or at other facilities operated by the regulated entity. Through this consent decree approach and other means, EPA may consider how to encourage effective auditing by publicly owned sewage treatment works (POTWs). POTWs often have compliance problems related to operation and maintenance procedures which can be addressed effectively through the use of environmental auditing. Under its National Municipal Policy EPA already is requiring many POTWs to develop composite correction plans to identify and correct compliance problems. C. Environmental Auditing at Federal Facilities EPA encourages all federal agencies subject to environmental laws and regulations 5EPA is developing guidance for use by Agency negotiators in structuring appropriate environmental audit provisions for consent decrees and other settlement negotiations.
97
to institute environmental auditing systems to help ensure the adequacy of internal systems to achieve, maintain and monitor compliance. Environmental auditing at federal facilities can be an effective supplement to EPA and state inspections. Such federal facility environmental audit programs should be structured to promptly identify environmental problems and expenditiously develop schedules for remedial action. To the extent feasible, EPA will provide technical assistance to help federal agencies design and initiate audit programs. Where appropriate, EPA will enter into agreements with other agencies to clarify the respective roles, responsibilities and commitments of each agency in conducting and responding to federal facility environmental audits. With respect to inspections of self-audited facilities (see section III.B.I above) and requests for audit reports (see section III.A above), EPA generally will respond to environmental audits by federal facilities in the same manner as it does for other regulated entities, in keeping with the spirit and intent of Executive Order 12088 and the EPA Federal Facilities Compliance Strategy (January 1984, update forthcoming in late 1986). Federal agencies should, however, be aware that the Freedom of Information Act will govern any disclosure of audit reports or auditgenerated information requested from federal agencies by the public. When federal agencies discover significant violations through an environmental audit, EPA encourages them to submit the related audit findings and remedial action plans expeditiously to the applicable EPA regional office (and responsible state agencies, where appropriate) even when not specifically required to do so. EPA will review the audit findings and action plans and either provide written approval or negotiate a Federal Facilities Compliance Agreement. EPA will utilize the escalation procedures provided in Executive Order 12088 and the EPA Federal Facilities Compliance Strategy only when agreement between agen-
98
Semiconductor Safety Handbook
cies cannot be reached. In any event, federal agencies are expected to report pollution abatement projects involving costs (necessary to correct problems discovered through the audit) to EPA in accordance with OMB Circular A-106. Upon request, EPA will assist affected federal agencies through coordination of any public release of audit findings with approved action plans once agreement has been reached. IV. Relationship to State or Local Regulatory Agencies State and local regulatory agencies have independent jurisdiction over regulated entities. EPA encourages them to adopt these or similar policies, in order to advance the use of effective environmental auditing in a consistent manner. EPA recognizes that some states have already undertaken environmental auditing initiatives which differ somewhat from this policy. Other states also may want to develop auditing policies which accommodate their particular needs or circumstances. Nothing in this policy statement is intended to preempt or preclude states from developing other approaches to environmental auditing. EPA encourages state and local authorities to consider the basic principles which guided the Agency in developing this policy: Regulated entities must continue to report or record compliance information required under existing statures or regulations, regardless of whether such information is generated by an environmental audit or contained in an audit report. Required information cannot be withheld merely because it is generated by an audit rather than by some other means. Regulatory agencies cannot make promises to forgo or limit enforcement action against a particular facility or class of facilities in exchange for the use of environmental auditing systems. However, such agencies may use their discretion to adjust enforcement actions on a case-by-case basis in response to honest and genuine ef-
forts by regulated entities to assure environmental compliance. When setting inspection priorities regulatory agencies should focus to the extent possible on compliance performance and environmental results. Regulatory agencies must continue to meet minimum program requirements (e.g., minimum inspection requirements, etc.). Regulatory agencies should not attempt to prescribe the precise form and structure of regulated entities’ environmental management or auditing programs. An effective state/federal partnership is needed to accomplish the mutual goal of achieving and maintaining high levels of compliance with environmental laws and regulations. The greater the consistency between state or local policies and this federal response to environmental auditing, the greater the degree to which sound auditing practices might be adopted and compliance levels improve. Dated: June 28, 1986. Lee M, Thomas, Administrator. Appendix—Elements of Effective Environmental Auditing Programs Introduction: Environmental auditing is a systematic, documented, periodic and objective review by a regulated entity of facility operations and practices related to meeting environmental requirements. Private sector environmental audits of facilities have been conducted for several years and have taken a variety of forms, in part to accommodate unique organizational structures and circumstances. Nevertheless, effective environmental audits appear to have certain discernible elements in common with other kinds of audits. Standards for internal audits have been documented extensively. The elements outlined below draw heavily on two of these documents: “Compendium of Audit Standards” (© 1983, Walter Willborn, American Society for Quality
Environmental Compliance Control) and “Standards for the Professional Practice of Internal Auditing’’ (© 1981, The Institute of Internal Auditors, Inc.). They reflect Agency analyses conducted over the last several years. Performance-oriented auditing elements are outlined here to help accomplish several objectives. A general description of features of effective, mature audit programs can help those starting audit programs, especially federal agencies and smaller businesses. These elements also indicate the attributes of auditing EPA generally considers important to ensure program effectiveness. Regulatory agencies may use these elements in negotiating environmental auditing provisions for consent decrees. Finally, these elements can help guide states and localities considering auditing initiatives. An effective environmental auditing system will likely include the following general elements: I. Explicit top management support for environmental auditing and commitment to follow-up on audit findings. Management support may be demonstrated by a written policy articulating upper management support for the auditing program, and for compliance with all pertinent requirements, including corporate policies and permit requirements as well as federal, state and local statutes and regulations. Management support for the auditing team also should be demonstrated by explicit written commitment to follow-up on audit findings to correct identified problems and prevent their recurrence. II. An environmental auditing function independent of audited activities. The status or organizational locus of environmental auditors should be sufficient to ensure objective and unobstructed inquiry, observation and testing. Auditor objectivity should not be impaired by personal relationships, financial or other conflicts of interest, interference with free inquiry or judgment, or fear of potential retribution. III. Adequate team staffing and auditor training. Environmental auditors should
99
possess or have ready access to the knowledge, skills, and disciplines needed to accomplish audit objectives. Each individual auditor should comply with the company’s professional standards of conduct. Auditors, whether full-time or part-time, should maintain their technical and analytical competence through continuing education and training. IV. Explicit audit program objectives, scope, resources and frequency. At a minimum, audit objectives should include assessing compliance with applicable environmental laws and evaluating the adequacy of internal compliance policies, procedures and personnel training programs to ensure continued compliance. Audits should be based on a process which provides auditors: all corporate policies, permits, and federal, state, and local regulations pertinent to the facility; and checklists or protocols addressing specific features that should be evaluated by auditors. Explicit written audit procedures generally should be used for planning audits, establishing audit scope, examining and evaluating audit findings, communicating audit results, and following-up. V. A process which collects, analyzes, interprets and documents information sufficient to achieve audit objectives. Information should be collected before and during an On-site visit regarding environmental compliance (1), environmental management effectiveness (2), and other matters (3) related to audit objectives and scope. This information should be sufficient, reliable, relevant and useful to provide a sound basis for audit findings and recommendations. a. Sufficient information is factual, adequate and convincing so that a prudent, informed person would be likely to reach the same conclusions as the auditor. b. Reliable information is the best attainable through use of appropriate audit techniques. c. Relevant information supports audit findings and recommendations and is consistent with the objectives for the audit.
100 Semiconductor Safety Handbook d. Useful information helps the organization meet its goals. The audit process should include a periodic review of the reliability and integrity of this information and the means used to identify, measure, classify and report it. Audit procedures, including the testing and sampling techniques employed, should be selected in advance, to the extent practical, and expanded or altered if circumstances warrant. The process of collecting, analyzing, interpreting, and documenting information should provide reasonable assurance that audit objectivity is maintained and audit goals are met. V1. A process which includes specific procedures to promptly prepare candid, clear and appropriate written reports on audit findings, corrective actions, and schedules for implementation. Procedures should be in place to ensure that such information is communicated to managers, including facility and corporate management, who can evaluate the information and ensure correction of identified problems. Procedures also should be in place for determining what internal findings are reportable to state or federal agencies. VII. A process which includes quality assurance procedures to assure the accuracy and thoroughness of environmental audits. Quality assurance may be accomplished through supervision, independent internal reviews, external reviews, or a combination of these approaches. Footnotes to Appendix (I) A comprehensive assessment of compliance with federal environmental regulations requires an analysis of facility performance against numerous environmental statutes and implementing regulations. These statutes include: Resource Conservation and Recovery Act Federal Water Pollution Control Act Clean Air Act
Hazardous Materials Transportation Act Toxic Substances Control Act Comprehensive Environmental Response, Compensation and Liability Act Safe Drinking Water Act Federal Insecticide, Fungicide and Rodenticide Act Marine Protection, Research and Sanctuaries Act Uranium Mill Tailings Radiation Control Act In addition, state and local government are likely to have their own environmental laws. Many states have been delegated authority to administer federal programs. Many local governments’ building, fire, safety and health codes also have environmental requirements relevant to an audit evaluation. (2) An environmental audit could go well beyond the type of compliance assessment normally conducted during regulatory inspections, for example, by evaluating policies and practices, regardless of whether they are part of the environmental system or the operating and maintenance procedures. Specifically, audits can evaluate the extent to which systems or procedures: 1. Develop organizational environmental policies which: a. implement regulatory requirements; b. provide management guidance for environmental hazards not specifically addressed in regulations; 2. Train and motivate facility personnel to work in an environmentally acceptable manner and to understand and comply with government regulations and the entity’s environment policy; 3. Communicate relevant environmental developments expeditiously to facility and other personnel; 4. Communicate effectively with government and the public regarding serious environmental incidents;
Environmental Compliance 101 5. Require third parties working for, with or on behalf of the organization to follow its environmental procedures; 6. Make proficient personnel available at all times to carry out environmental (especially emergency) procedures; 7. Incorporate environmental protection into written operating procedures; 8. Apply best management practices and operating procedures, including “good housekeeping” techniques; 9. Institute preventive and corrective maintenance systems to minimize actual and potential environmental harm; 10. Utilize best available process and control technologies; 11. Use most-effective sampling and monitoring techniques, test methods, recordkeeping systems or reporting protocols (beyond minimum legal requirements); 12. Evaluate causes behind any serious environmental incidents and establish procedures to avoid recurrence; 13. Exploit source reduction, recycle and reuse potential wherever practical; and 14. Substitute materials or processes to allow use of the least-hazardous substances feasible. (3) Auditors could also assess environmental risks and uncertainties.
102 Semiconductor Safety Handbook APPENDIX B Environmental Compliance Audit Checklist
I. GENERAL Name of Facility:
Date:
Facility Address:
Facility Contact:
Auditors:
Facility Manager:
Facility Census: Category of Workers
Number of Workers
Year Facility Began Operation: Facility Property Size:
Year Built: Acres
Is Facility Owned or Leased?: Services Provided or Products Made by Facility:
Buildings on Facility Property and Their Uses:
Operating Hours:
Environmental Compliance 103 Previous Uses of Property:
Surrounding Area (e.g., urban/suburban/rural, neighboring businesses, land use):
II. COMPLIANCE AUDIT Checklist A. Air
Yes No N/A
1.0 Regulatory Agency 1.1
Is facility aware of requirements of air pollution control regulatory agency?
1.2
Identify air pollution regulatory agency. Name Address
Key Contact Telephone 1.3
Has facility been inspected by air quality inspector during past year?
1.4
If yes to #1.3, describe inspection and findings.
104 Semiconductor Safety Handbook 2.0 Permit 2.1
Does facility have any operation, i.e., air pollution source(s), which requires a permit?
2.2
If no to #2.1, has air pollution regulatory agency been contacted to obtain determination that no permit is required?
2.3
If yes to #2.2, is determination documented and filed?
2.4
If no to #2.2, explain.
2.5
If yes to #2.1, is air pollution source(s) permitted?
2.6
List permitted air pollution source(s).
2.7
Describe specified conditions of permit.
2.8
Are permit conditions being met?
2.9
If no to #2.8, state specific conditions and reason for not meeting them.
Yes No N/A
Environmental Compliance 105 2.10 If no to #2.5, list non-permitted source(s).
3.0 New Source Performance Standards (NSPS) 3.1
Do NSPS requirements apply to facility?
3.2
If yes to #3.1, describe NSPS category and compliance effort.
4.0 National Emission Standards for Hazardous Air Pollutants (NESHAP) 4.1
Do NESHAP requirements apply to facility?
4.2
If yes to #4.1, describe NESHAP category and compliance effort.
5.0 AB-2588 (Toxic Hot Spots Act) 5.1
Do AB-2588 requirements apply to facility?
5.2
If yes to #5.1, describe compliance effort.
106 Semiconductor Safety Handbook B. Water
Yes No N/A
1.0 Sanitary Wastewater 1.1
Does facility discharge sanitary wastewater to Publicly Owned Treatment Works (POTW), a municipal sewage treatment plant?
1.2
If yes to #1.1, identify POTW. Name Address
Key Contact Telephone 1.3
If no to #1.1, does facility discharge to septic tank and/or leach field?
1.4
Is septic tank and/or leach field permitted or registered?
1.5
If yes to #1.4, identify permitting or registering regulatory agency. Name Address
Key Contact Telephone 1.6
If no to #1.4, is documentation available to show that permit or registration is not required?
2.0 Industrial Wastewater 2.1
Does facility discharge industrial (non-sanitary) wastewaters to POTW?
Environmental Compliance 107 Yes No N/A 2.2
If yes to #2.1, state number of sewer discharge outfalls.
2.3
Identify industrial wastewaters associated with each discharge outfall.
2.4
Is facility permitted or registered with POTW?
2.5
Permit expiration date:
2.6
If no to #2.4, is documentation available to show that permit or registration is not required?
2.7
If yes to #2.4, describe any special or standard conditions or permit for: Prohibited discharges:
Discharge concentration limits:
Monitoring requirements:
Reporting requirements:
Recording requirements:
Pretreatment requirements:
(Use blank page for more space.)
108 Semiconductor Safety Handbook Yes No N/A 2.8
Are the permit conditions being met?
2.9
If not to #2.8, explain.
2.10 Has facility been inspected or monitored by POTW during the past year? 2.11 If yes to #2.10, describe inspection or monitoring findings.
2.12 Is facility subject to federal Categorical Standards? 2.13 If no to #2.1, does facility discharge to septic tank and/or leach field? 2.14 Is septic tank and/or leach field permitted or registered? 2.15 If yes to #2.14, identify permitting or registering regulatory agency. Name Address
Key Contact Telephone 2.16 Describe conditions of permit: Prohibited discharges:
Environmental Compliance 109 Yes No N/A Discharge concentration limits:
Monitoring requirements:
Reporting requirements:
Recording requirements:
Pretreatment requirements:
(Use blank page for more space.) 2.17 If no to #2.14, is documentation available to show that permit or registration is not required? 3.0 NPDES 3.1
Is facility subject to NPDES permit requirements?
3.2
If yes to #3.1, identify regulatory agency. Name Address
Key Contact Telephone 3.3
Describe permit conditions.
3.4
Are the permit conditions being met?
110 Semiconductor Safety Handbook Yes No N/A 3.5
If no to #3.4, explain.
4.0 Stormwater 4.1
Is facility subject to stormwater permit requirements?
4.2
If yes to #4.1, identify regulatory agency. Name Address
Key Contact Telephone 4.3
Identify stormwater runoff outfalls and describe areas from where stormwater is collected for each outfall.
4.4
Does stormwater come in contact with contaminants from facility operations such as hazardous material storage and transfer, spills, and equipment cleaning?
4.5
If yes to #4.4, describe facility operations and stormwater contaminants.
4.6
Is stormwater retained and/or treated on-site prior to discharge?
Environmental Compliance 111 Yes No N/A 4.7
If yes to #4.6, describe stormwater retention or treatment system.
4.8
Is facility required to have Stormwater Pollution Prevention Plan and Stormwater Monitoring Program?
4.9
If yes to #4.8, are the written Plan and Program available on-site and implemented?
4.10 Does facility have groundwater recharge (a.k.a. dry) well(s)? 4.11 If yes to #4.10, is recharge well(s) registered or permitted? 4.12 If yes to #4.11, identify regulatory agency. Name Address
Key Contact Telephone C. TSCA (Toxic Substance Control Act) 1.0 PCBs 1.1
Does facility have any PCB (polychlorinated biphenyl) containing equipment such as transformers, capacitors, hydraulic system, heat transfer system, electromagnets, switches, and voltage regulators?
1.2
If no to #1.1, skip PCB Section.
112 Semiconductor Safety Handbook Yes No N/A 1.3
If yes to #1.1, identify PCB containing equipment.
1.4
Has facility been inspected in the past for PCB compliance?
1.5
If yes to #1.4, identify date(s), agency, and inspection result. Date(s) of Inspection Agency Name Address
Inspector Telephone 1.6
Is PCB equipment marked with PCB label?
1.7
Is PCB equipment placed in area with secondary containment?
1.8
Is PCB equipment protected from rainfall?
1.9
Is PCB equipment stored in area above 100 year flood plain?
1.10 Does facility maintain regular inspection and maintenance history of each PCB item including: a.
Location of PCB equipment?
b.
Date of each monthly inspection?
c.
Result of inspection?
Environmental Compliance 113 Yes No N/A d.
Person inspecting?
e.
Discovery of PCB leak & location?
f.
Estimate of leaked quantity?
g.
Date of cleanup, repair or replacement?
h.
Description of cleanup, repair, or replacement?
i.
PCB concentration in PCB equipment?
j.
Date PCB equipment was placed in storage?
k.
Date PCB equipment was removed from storage for disposal?
l.
Location of PCB disposal?
2.0 TSCA Sections 5 and 8 2.1
Does facility manufacture, distribute, or process chemical substances or mixtures?
2.2
If yes to #2.1, does facility have a copy of written policy addressing Section 8(e) substantial risk?
2.3
Has there been any Section 8(e) substantial risk incident at facility?
2.4
If yes to #2.3, has the incident been reported to EPA and documented?
2.5
If no to #2.4, has document been placed in file to record no Section 8(e) incident in previous year?
2.6
Is facility subject to TSCA Sections 5 and 8 requirements for Inventory, Premanufacture Notification, and Significant New Use of chemicals?
114 Semiconductor Safety Handbook Yes No N/A 2.7
If yes to #2.6, describe compliance program.
D. Asbestos 1.0 State Asbestos Notification Requirement 1.1
Has survey been conducted at facility to determine whether asbestos-containing material (ACM) is present in facility?
1.2
Does facility have any ACM material?
1.3
If yes to #1.2, state specific location.
1.4
Has individual written notice been issued to all employees working within the area containing asbestos?
1.5
If yes to #1.4, does the notice include: a.
Information on asbestos survey?
b.
Location and time where the survey can be reviewed?
c.
Specific location(s) of asbestos?
d.
Procedures for preventing and minimizing disturbance, release, and exposure to asbestos?
e.
Result of any sampling test or airborne monitoring?
f.
Explanation of potential health risks or impacts from exposure?
Environmental Compliance 115 Yes No N/A 1.6
Has facility been inspected for asbestos compliance by regulatory agency?
1.7
If yes to #1.6, identify agency. Name Address
Inspector Telephone 2.0 Proposition 65 (California only) 2.1
Asbestos is a Proposition 65 chemical. If asbestos is present at facility, please turn to Section on Proposition 65 for review.
E. Proposition 65 1.0 Prop 65 Compliance 1.1
Is facility a government entity or a business with fewer than 10 employees?
1.2
If yes to #1.1, facility is exempted. Turn to next Section.
1.3
Does facility handle any chemical or any product containing chemical which is subject to Proposition 65 requirements?
1.4
If yes to #1.3, list these chemicals or products.
116 Semiconductor Safety Handbook Yes No N/A 1.5
If no to #1.3, does facility have a Prop 65 warning available such as below? “WARNING: THIS AREA CONTAINS CHEMICAL KNOWN TO THE STATE OF CALIFORNIA TO CAUSE CANCER (OR BIRTH DEFECTS OR OTHER REPRODUCTIVE HARM)”
1.6
If no to #1.5, has facility determined that exposure to Prop 65 chemical poses “no significant risk” to employees or to the public?
1.7
If yes to #1.6, is documentation on methodology and rationale available at facility?
1.8
Has facility been inspected for Prop 65 compliance by regulatory agency?
1.9
If yes to #1.8, identify agency. Name Address
Inspector Telephone F. Solid Waste 1.0 Non-Hazardous Solid Waste 1.1
Identify nonhazardous solid wastes generated by facility.
Environmental Compliance 117 Yes No N/A 1.2
Are solid wastes placed in trash bins and/or compactors determined to be nonhazardous wastes?
1.3
If yes to #1.2, describe how determination was made.
1.4
List waste hauler(s) used by facility: Name Address
Telephone 1.5
List disposal site(s) used by hauler for solid wastes.
1.6
Has other disposal site(s) been used by present or past hauler(s)?
1.7
If yes to #1.6, list disposal site(s).
2.0 Non-Hazardous Liquid Waste 2.1
Identify nonhazardous liquid (non-domestic) wastes generated by facility.
118 Semiconductor Safety Handbook Yes No N/A 2.2
Are nonhazardous liquid wastes disposed of other than by discharge to sanitary sewer?
2.3
If yes to #2.2, describe treatment or disposal method(s).
2.4
If treatment or disposal in #2.3 is off-site, identify off-site facility. Name Address
Key Contact Telephone G. Hazardous Waste 1.0 Generator 1.1
Has facility waste(s) been either tested for hazardous waste determination or designated as hazardous or nonhazardous based on knowledge?
1.2
If yes to #1.1, state where written records are filed.
1.3
If hazardous waste is generated, is facility registered as “generator”?
1.4
If no to #1.3, explain.
Environmental Compliance 119 Yes No N/A 1.5
If yes to #1.3, are hazardous waste(s) properly handled and: a.
Not treated or disposed of on-site without permit?
b.
Not discharged to storm sewer?
c.
Not discharged to sanitary sewer or to septic tank and/or leach field without proper approval?
d.
Not allowed to evaporate into atmosphere?
e.
Not accepted from off-site location?
1.6
Does facility have designated hazardous waste storage area(s)?
1.7
If yes to #1.6, is area(s) posted with a sign “Danger Hazardous Waste Area—Unauthorized Personnel Keep Out”?
1.8
Are records of weekly inspection of hazardous waste storage areas and physical conditions of tanks and containers on file?
1.9
If yes to #1.8, state: Location of file: Date of last inspection:
1.10 Are hazardous waste(s) stored for 90 days or less? 1.11 If no to #1.10, identify number of containers and their wastes over 90 days.
1.12 Are containers of hazardous waste labeled with hazardous waste labels?
120 Semiconductor Safety Handbook Yes No N/A 1.13 If yes to #1.12, are labels marked properly with: a.
Accumulation start date?
b.
Generator name and address?
c.
Generator I.D. number?
d.
Composition and physical state?
e.
Hazardous property?
f.
California waste number?
1.14 Are hazardous waste containers closed during storage? 1.15 Are hazardous waste containers in good condition? 1.16 If no to #1.15, describe condition.
1.17 Are containers neatly arranged with at least 3 feet of aisle space between containers or between pallets of containers? 1.18 Are Hazardous Waste Manifests and waste oil recycling manifest invoices on file? 1.19 Are copies of Manifests sent to State agency? 1.20 Is the status of Hazardous Waste Manifest document checked with TSD facility if signed Manifest is not returned within 35 days after waste shipment? 1.21 Is exception report filed with State agency within 45 days of waste shipment if signed Manifest is not returned?
Environmental Compliance 121 Yes No N/A 1.22 Are biennial generator reports on file? 1.23 If no to #1.22, explain.
1.24 Are hazardous waste tax and fee records on file? a.
Annual “State Hazardous Waste Superfund Tax Return”
b.
Quarterly “Hazardous Waste Disposal Fee Return” (a.k.a. Hazardous Waste Generator Fee Return)
c.
Annual “Hazardous Waste Generator Fee and Waste Reporting Surcharge Fee Return” (a.k.a. Hazardous Waste Generator Fee Return)
d.
Quarterly “Underground Storage Tank Fee Return” for waste tanks
1.25 Is hazardous waste contingency plan or combination hazardous waste/material contingency plan on file? 1.26 Is hazardous waste training provided to employees involved in managing hazardous waste? a.
Supervisors?
b.
Workers?
1.27 If yes to #1.26, identify names of employees given initial training.
1.28 Have the employees been given annual review training?
122 Semiconductor Safety Handbook Yes No N/A 1.29 Is any hazardous waste stored in aboveground or underground tank with capacity more than 5,000 gallons? 1.30 If yes to #1.29, is the tank permitted with State agency 1.31 Has facility been inspected for hazardous waste compliance by regulatory agency? 1.32 If yes to #1.31, identify agency. Name Address
Inspector Telephone 2.0 Hazardous Waste Reduction Program (SB-14 California only) 2.1
Has an individual at facility been designated to manage hazardous waste reduction program?
2.2
Has source reduction evaluation been conducted to determine waste reduction potentials?
2.3
Based on the source reduction evaluation, has a plan to reduce hazardous waste been developed?
2.4
Has the evaluation and plan been certified by a registered engineer, own operations expert, or environmental assessor that it meets the criteria established by SB-14?
2.5
Has hazardous waste management performance report been prepared documenting management approaches implemented by facility?
Environmental Compliance 123 Yes No N/A 2.6
Does source reduction evaluation review and plan include: a.
Name of site, location, & SIC code?
b.
List of routinely generated hazardous waste?
c.
Estimate of quantity of each waste for which source reduction is viable?
d.
Rationale for selecting technically feasible & economically practicable source reduction measures for each waste?
e.
Documentation of rationale for rejecting source reduction approaches?
f.
Evaluation and quantification of impact of chosen source reduction options on the environment?
g.
Timetable for implementation?
h. Certification that source reduction plan will be implemented? 2.7
Does hazardous waste management performance report include: a.
Estimate of quantity of each hazardous waste generated?
b.
Estimate of quantity of each hazardous waste managed on-site?
c.
Estimate of quantity of each hazardous waste managed off-site?
d.
Assessment of environmental effects of each hazardous waste management measure implemented since baseline year?
124 Semiconductor Safety Handbook Yes No N/A e. Discussion of factors that affected waste generation, on-site and off-site waste management since baseline year? H. Hazardous Materials Management 1.0 State & County Ordinance 1.1
Does facility handle hazardous materials as defined by County Health Department?
1.2
If yes to #1.1, is facility permitted with County Health Department?
1.3
Is written Hazardous Material Management Plan (HMMP) on file?
1.4
Is Hazardous Material Inventory Statement (HMIS) on file?
1.5
Is emergency response or contingency plan on file?
1.6
Is written monitoring plan for monitoring each hazardous material storage area on file?
1.7
Are hazardous material storage (and handling) areas below monitored by visual inspection at least weekly? Develop site specific lists.
1.8
Are containers of hazardous materials including hazardous wastes stored in areas with secondary containments?
1.9
If yes to #1.8, are secondary containments capable of containing more than: a.
110% of container volume in one-container secondary containment?
b.
150% of volume of largest container in multicontainer secondary containment?
Environmental Compliance 125 Yes No N/A c.
10% of total volume of all containers in secondary containment?
d.
Additional volume of 24-hour rain from 100year storm if area is exposed to rainfall?
1.10 If no to #1.8, identify containers and their locations.
1.11 Identify location(s) of above hazardous material records: HMMP: HMIS: Contingency Plan: Monitoring Plan: Inspection Records: 1.12 Has facility been inspected for hazardous material compliance by regulatory agency? 1.13 If yes to #1.12, identify agency. Name Address
Inspector Telephone
126 Semiconductor Safety Handbook Yes No N/A 2.0 Risk Management and Prevention Programs (AB-3777 in California only) 2.1
Does facility handle “acutely hazardous material” as defined in 40 CFR Part 355?
2.2
If yes to #2.1, is acutely hazardous material handled in quantity more than 500 pounds, 55 gallons, or 200 cubic feet (of compressed air)?
2.3
If no to #2.2, skip AB-3777 Sub-Section.
2.4
Has facility submitted acutely hazardous material registration form?
2.5
Has facility been required to prepare Risk Management Prevention Program (RMPP)?
2.6
If yes to #2.5, state date of preparation and agency RMPP was submitted to.
2.7
Does RMPP include the following: a.
Description of acutely hazardous material incident within 3 years?
b.
Report on the nature, age, and condition of equipment handling acutely hazardous material?
c.
Design, operating, and maintenance controls to minimize accident?
d.
Detection, monitoring, or automatic controls to minimize accident?
e.
Schedule for implementing additional accident prevention measures?
Environmental Compliance 127 Yes No N/A f.
Off-site consequences of worst case release and probabilistic risk assessment?
g.
Certification by registered professional & facility operator?
3.0 Toxic Gas Ordinance 3.1
Does facility use “regulated material” as defined in toxic gas ordinances?
3.2
If yes to #3.1, does facility store, use, dispense, or handle any regulated material in excess of exempt amount?
3.3
If no to #3.2, skip to next Section.
3.4
Does facility have a permit for regulated materials?
3.5
Has material hazard index (MHI) been calculated for each regulated material?
3.6
Are tanks and piping systems used for regulated material seismically braced?
3.7
Are regulated materials secured against unauthorized entry?
3.8
Is appropriate protective equipment including breathing apparatus available in the event of unauthorized discharged?
3.9
Are regulated materials segregated from incompatible materials?
3.10 Are regulated material containers leak tested immediately upon delivery? 3.11 Are protective plugs and caps of regulated materials in place at all times unless and until materials are placed into use?
128 Semiconductor Safety Handbook Yes No N/A 3.12 Does facility have an emergency response plan addressing regulated materials? 3.13 Is there on-site emergency response team? 3.14 Are emergency drills conducted at least every 3 months? 3.15 Are records of emergency drills maintained on-site? 3.16 Are safety control systems tested at least annually? 3.17 Are maintenance records and certifications maintained on-site? 3.18 Are flow-restricting orifices and devices on DOT Poison A material systems? 3.19 Are regulated material use areas protected by automatic sprinkler systems? 4.0 Training 4.1
Are employee right-to-know training records on file?
4.2
Has initial training been given to: a.
Plant Managers?
b.
Supervisors/Foreman?
c.
Production Personnel?
d.
Laboratory Personnel?
e.
Facility maintenance workers?
f.
Environmental staff?
g. h.
Environmental Compliance 129 Yes No N/A 4.3
Has annual refresher training been given to same personnel?:
4.4
Are new employees given training before allowed to work or instructed not to work without supervision?
4.5
Are training records on file?
4.6
If yes to #4.5, state location of file records.
I. Underground Storage Tanks 1.0 Storage Tanks 1.1
Does facility have underground storage tank(s)?
1.2
If no to #1.1, skip this section.
1.3
If yes to #1.1, are underground storage tanks permitted with County Health Department?
1.4
Are storage tanks equipped with secondary containments?
1.5
If yes to #1.4, is secondary containment capable of containing more than the volume of each tank?
1.6
If no to #1.4, are underground tanks monitored by: a.
Visual inspection?
b.
Inventory reconciliation?
c.
Tank tightness testing?
d.
Groundwater monitoring?
e.
Other: Describe:
130 Semiconductor Safety Handbook Yes No N/A 1.7
If yes to #1.6, describe monitoring frequency.
1.8
Are monitoring records on file?
1.9
If yes to #1.6.d, describe number, depth, and groundwater/vadose zone monitoring of wells.
1.10 Are monitoring wells capped and locked? 1.11 If yes to #1.10, identify individuals who have keys to the locks.
1.12 Are underground tank fee and permit records on file for: a.
Quarterly “Underground Storage Tank Fee Return” filed with State Tax?
b.
Public Health Permit” issued by County Health Department?
1.13 Identify location(s) of filed records.
Environmental Compliance 131 Yes No N/A 1.14 Has facility been inspected for underground storage tank compliance by regulatory agency? 1.15 If yes to #1.14, identify agency. Name Address
Inspector Telephone J. Aboveground Storage Tanks 1.0 Storage Tanks 1.1
Does facility have aboveground storage tanks?
1.2
If yes to #1.1, are storage tanks equipped with secondary containments?
1.3
Is secondary containment capable of containing more than:
1.4
a.
110% of tank volume in one-tank secondary containment?
b.
150% of volume of largest tank in multi-tank secondary containment?
c.
10% of total volume of all tanks in secondary containment?
d.
Additional volume of 24-hour rain from 100year storm if area is exposed to rainfall?
Is water in secondary containment checked before removal and disposal?
132 Semiconductor Safety Handbook Yes No N/A 1.5
Are storage tanks visually inspected at least weekly for leaks or deteriorations?
1.6
If yes to #1.5, state inspection frequency.
1.7
Are inspection records on file?
1.8
Identify location of filed records at facility.
1.9
Describe whether storage tanks are equipped with level gauges, audible alarm system, or some other technique to prevent overfill during loading.
1.10 Describe whether tank filling and dispensing areas are equipped with secondary containments to contain any spills, leaks or drips.
2.0 Spill Prevention Control and Countermeasure Plan (SPCC Plan) 1.1
Does facility have petroleum product storage tanks that: a.
Contain more than 660 gallons for any single aboveground tank?
Environmental Compliance 133 Yes No N/A b.
Contain more than 1320 gallons total aggregate capacity of aboveground tanks?
c.
Contain more than 42,000 gallons of aggregate underground storage capacity?
1.2
If yes to #1.1, does facility have an SPCC (Spill Prevention Control & Countermeasure) Plan?
1.3
Does SPCC Plan cover hazardous materials?
1.4
Does SPCC Plan address: a.
Spill history?
b.
Spill prediction?
c.
Facility site runoff & drainage?
d.
Potential spill sources?
e.
Spill prevention & containment features for: • Storage tanks? • Tank loading & unloading areas? • In-plant material transfer?
f.
Emergency procedures or reference to emergency procedures?
g.
Employee training?
h.
Reporting & notification procedures?
i.
Preventive maintenance?
j.
Housekeeping?
k.
Security?
134 Semiconductor Safety Handbook Yes No N/A 1.5
Is SPCC Plan certified by a professional engineer?
1.6
Is SPCC Plan reviewed every 3 years?
1.7
Has SPCC Plan been submitted to regulatory agency?
1.8
If yes to #1.7, identify agency. Name Address
Key Contact Telephone K. CERCLA Notification 1.0 Former Hazardous Waste Site 1.1
Does facility have any site(s) on-site where hazardous waste(s) was disposed of or released into ground in the past?
1.2
If yes to #1.1, was site(s) reported to EPA or some other regulatory agency under CERCLA 103(a) and (c)?
1.3
If yes to #1.2, identify site(s) and agency. Site Agency Name Address
Key Contact Telephone
Environmental Compliance 135 Yes No N/A 1.4
Describe any assessment, cleanup, or other actions taken.
L. Pesticides 1.0 General Use Pesticides 1.1
Does facility use any general use pesticides?
1.2
If yes to #1.1, identify pesticides.
1.3
Are pesticide containers equipped with labels?
1.4
Are directions on labels followed for: a.
Type of applicator equipment?
b.
Protective equipment?
c.
Recommended application rate?
d.
Method of application?
e.
Empty container rinsing?
f.
Empty container disposal?
g. h.
136 Semiconductor Safety Handbook Yes No N/A 1.5
Are workers who handle pesticides provided with: a.
Initial training before workers are allowed to handle pesticides?
b.
Annual training?
2.0 Restricted Use Pesticides 2.1
Does facility use or handle: a.
Federal “unrestricted use pesticides”?
b.
State “restricted material” pesticides?
2.2
If yes to #2.1, identify restricted pesticides.
2.3
Are restricted use pesticides applied by facility personnel?
2.4
If yes to #2.3, are pesticide handlers certified?
2.5
If yes to #2.4, identify certified facility personnel.
2.6
Are pesticide handlers certified by:
2.7
a.
U.S. EPA?
b.
California EPA?
c.
Other?
If yes to #2.6, are certificates current?
Environmental Compliance 137 Yes No N/A 2.8
If no to #2.4, are pesticide handlers under the direct supervision of certified pesticide applicator?
2.9
If yes to #2.8, identify certified applicator.
2.10 Is certification of certified applicator current? 2.11 Does facility possess permit for restricted use pesticides? 2.12 If yes to #2.11, are permit conditions followed? 2.13 Is “Notice of Intent” filed before pesticide application? 2.14 Is “Pesticide Use Report” filed after pesticide application? 2.15 Is pesticide storage area kept locked? 2.16 Is pesticide storage area posted with warning signs “Danger: Poison Storage Area, All Unauthorized Persons Keep Out, Keep Door Locked When Not In Use?” 2.17 Are empty containers triple rinsed? 2.18 If yes to #2.17, are triple-rinsed containers: a.
Recycled?
b.
Disposed of?
2.19 If no to #2.17, are unrinsed containers stored in locked area? 2.20 Has facility been inspected before for its restricted use pesticide program by regulatory agency?
138 Semiconductor Safety Handbook Yes No N/A 2.21 If yes to #2.20, identify regulatory agency. Name Address
Inspector Telephone 2.22 Does facility have application records? 2.23 Are pesticide handlers provided with training? 2.24 Are training records on file for: a.
Pesticide handlers?
b.
Certified pesticide applicator?
III. ENVIRONMENTAL MANAGEMENT AUDIT Checklist A. Environmental Policy and Procedures (Skip to next section if corporate program exists.) 1.0 Environmental Policy 1.1
Is there a written environmental policy of the organization?
1.2
If yes to #1.1, is the policy signed off and supported by the highest officer of the organization?
1.3
Does policy statement cover: a. Vision, beliefs, and/or goals for the organization?
Environmental Compliance 139 Yes No N/A b.
Designated group or individual responsible for environmental activities?
c.
Authority of the designated group or individual to establish guidelines and procedures?
2.0 Guidelines and Procedures 2.1
Are environmental guidelines and procedures established to address: a.
Spill prevention & containment?
b.
Spill response?
c.
Spill reporting/recording?
d.
Permit review & coordination?
e.
Capital project & design review?
f.
Hazardous waste management?
g.
Container & tank management?
h.
Recordkeeping?
i.
Property transfer?
j.
Operating practices?
2.2
If yes to #2.1.j, identify practices.
2.3
Are guidelines and procedures reviewed with facility management to ensure that they are clear and implementable?
140 Semiconductor Safety Handbook Yes No N/A 2.4
Are guidelines and procedures issued to all operating facilities?
2.5
Are facility employees trained and familiar with the guidelines and procedures?
B. Management Support and Attention 1.0 Corporate Program (If audit is for operating facility, skip to #2.0) 1.1
Has management designated specific department and individual as being responsible for developing and implementing the corporate environmental program?
1.2
Is monetary and manpower resources allocated to department to support operating facilities and ensure their compliance with environmental laws?
1.3
If yes to #1.2, do resources cover:
1.4
a.
Staff manpower to interface with operating facilities and regulatory agencies and coordinate with corporate engineering and other departments?
b.
Training courses and seminars to maintain updated knowledge of laws and environmental technologies?
c.
Clerical support to file and maintain complete environmental records of organization?
d.
Periodicals, publications, and other reference and supply tools?
e.
Outside consulting and contracting services?
Does management have regularly scheduled meetings or forums to hear environmental issues?
Environmental Compliance 141
Yes No N/A 2.0 Facility Program 2.1
Has facility superintendent or management designated specific individual, i.e., Environmental Compliance Officer (ECO), as being responsible for carrying out facility compliance efforts?
2.2
If yes to #2.1, name ECO.
2.3
Does ECO have authority to instruct employees on proper practices?
2.4
Has facility superintendent informed all employees of ECO’s authority?
2.5
Are responsibilities and performance expected of ECO established and documented?
2.6
Is ECO’s position part-time?
2.7
If yes to #2.6, describe percentage of time ECO devotes to environmental issues.
2.8
Does facility superintendent meet at least weekly with ECO to review environmental issues?
2.9
Is ECO provided with training to maintain updated knowledge of environmental laws and technologies?
2.10 Does ECO communicate at least weekly with Environmental, Safety and Health Department? 2.11 Are employees informed of environmental issues on a regular basis?
142 Semiconductor Safety Handbook Yes No N/A C. Capital Project Coordination (Skip to next section if not applicable.) 1.0 Planning and Design 1.1
Is any plan for capital projects having environmental implications reviewed or coordinated with Environmental, Safety and Health Department?
1.2
Are options for environmental control systems or techniques developed and evaluated?
1.3
Are conceptual designs of facility, system, or equipment reviewed and concurred by Environmental, Safety and Health Department?
1.4
Is Environmental, Safety and Health Department consulted to determine whether the capital project requires an environmental permit?
1.5
Is permit application reviewed and approved by Environmental, Safety and Health Department prior to submission to regulatory agency?
D. Chemical Purchasing, Receiving & Inventory Control 1.0 Chemical Purchasing 1.1
Does facility have a chemical inventory management system to monitor and control chemical purchasing and inventory?
1.2
If yes to #1.1, does inventory system cover: a.
Records of all chemicals purchased noting chemicals and quantity:
b.
Quantity of chemicals in stock?
c.
Acceptable high and low inventory range for each routinely purchased chemical?
Environmental Compliance 143 Yes No N/A 1.3
Is there a “new chemical requisition form” to request purchase of not previously purchased chemical?
1.4
If yes to #1.3, does request form cover: a.
Preferred chemical name?
b.
Trade name or common name?
c.
Confirmation that adequate and appropriate storage space is available?
d.
Quantity purchased?
e.
Profile of waste generation expected?
f.
Approval by Safety and Health Department?
1.5
Is responsibility for chemical purchases limited to a certain buyers?
1.6
Are chemical buyers trained in chemical purchasing requirements?
2.0 Chemical Receiving 2.1
Are chemicals received at facility without MSDS returned to vendor or placed in safe storage until the MSDS is received?
2.2
Are copies of MSDS forwarded to Environmental, Safety and Health Department?
2.3
Are chemical deliveries matched against purchase document for correct order?
2.4
Are chemical containers delivered screened for: a.
Proper packaging and marking?
b.
Evidence of damage or leak?
144 Semiconductor Safety Handbook Yes No N/A 2.5
Does spill prevention and control plan or facility emergency procedures cover emergencies involving receiving of chemicals?
2.6
Are employees receiving chemicals trained in the following: a.
Verification procedure for receipt of chemicals?
b.
Handling and movement of chemicals?
c.
Response to spills and emergencies?
d.
Chemical safety?
e.
Chemical compatibility?
3.0 Inventory Control 3.1
Are chemicals moved from receiving areas to storage locations as soon as possible?
3.2
Are non-compatible chemicals properly segregated?
3.3
Are storage areas properly maintained with: a.
Clear and unobstructed aisles and exits?
b.
Minimum aisle space and sprinkler clearance?
c.
Maximum chemical quantity limits?
d.
Adequate lighting including emergency lighting?
e.
Fire protection, alarm systems, and spill equipment?
Environmental Compliance 145 Yes No N/A E. Emergency Procedures & Spill History 1.0 Emergency Procedures 1.1
1.2
Does facility have a contingency or emergency response plan that covers emergency associated with: a.
Hazardous wastes?
b.
Hazardous materials?
c.
Fire or explosion?
d.
Earthquake?
e.
Other. Describe:
Does plan address: a.
Emergency coordinator?
b.
Chain of command?
c.
Names & telephone numbers of key personnel?
d.
Chain of communication?
e.
Facility communication system?
f.
Description of actions facility personnel must take to minimize hazards to human health and the environment?
g.
Duty and responsibility of emergency coordinator?
h.
Arrangements made with local and state response authorities?
i.
Notification of regulatory agency?
j.
List of all emergency equipment and locations?
146 Semiconductor Safety Handbook Yes No N/A k.
Frequency of plan review and conditions for plan revision?
2.0 Spill History 2.1
Has there been any reportable spill of hazardous waste or hazardous material (e.g., vehicle fuels and fluids) into storm sewer or onto non-concrete paved ground within past year?
2.2
If yes to #2.1, identify date, spilled material, quantity, affected storm sewer or ground, circumstances of spill(s), and response actions taken.
2.3
Was the spill(s) reported to Environmental, Safety and Health Department for guidance and coordination?
2.4
Was the spill(s) reported to regulatory agency?
2.5
If yes to #2.4, identify agency. Name Address
Key Contact Telephone Date Reported Any Action by Agency
Environmental Compliance 147 Yes No N/A 2.6
Was written report of spill(s) prepared and forwarded to Environmental, Safety and Health Department with file copy maintained at facility?
F. Housekeeping 1.0 Miscellaneous Housekeeping 1.1
Are containers and portable tanks containing hazardous materials and wastes stored and organized in an orderly and clean manner?
1.2
If no to #1.1, identify and describe container(s) and tank(s).
1.3
Are paved or unpaved areas discolored from past spills, leaks, and drips?
1.4
If yes to 1.3, identify area(s) of discoloration.
1.5
Is trash and litter within perimeter of facility collected and removed at least weekly?
1.6
Does facility have programs to maintain facility and operations in aesthetically pleasing manner to minimize concerns and close scrutiny of regulatory agency?
1.7
If yes to #1.6, describe programs.
148 Semiconductor Safety Handbook Yes No N/A 1.8
Are containers of virgin and waste solvents closed during nonuse to prevent air releases?
G. Property Transfer (Skip to next section if not applicable.) 1.0 Policy and Procedures 1.1
Are there policy guidelines or procedures which require environmental assessment to be conducted by Environmental, Safety and Health Department prior to new purchase or lease of property to ensure that no contaminated property is acquired?
1.2
Has property been purchased, leased, or sold in the recent past without environmental assessment being performed?
1.3
If yes to #1.2, describe date, property, and location.
H. Communications 1.0 Public Relations 1.1
Has facility received any complaints or adverse comments from neighbors or other community groups?
1.2
If yes to #1.1, detail complaints/comments and describe how they were handled.
Environmental Compliance 149 Yes No N/A 1.3
Does facility have any public relations program to nurture good relationship with neighbors and community groups?
1.4
If yes to #1.3, describe program.
2.0 Communication with Environmental, Safety and Health Department 2.1
Is Environmental, Safety and Health Department regularly consulted for environmental and regulatory problems and actions?
2.2
Are permit applications and other documents planned to be submitted to regulatory agency forwarded to Environmental, Safety and Health Department for review and approval?
2.3
Is Environmental, Safety and Health Department promptly notified in the event of spill or release of hazardous material or waste?
2.4
Is Environmental, Safety and Health Department consulted for review and approval of proposed design or construction of facility or equipment having environmental implications?
3.0 Regulatory Agency 3.1
Is Environmental, Safety and Health Department notified of prearranged and unannounced inspection visits of regulatory agency personnel?
3.2
Is arrangement made to have Environmental, Safety and Health personnel available on-site during the inspection?
3.3
Is inspector given courteous and friendly treatment?
150 Semiconductor Safety Handbook Yes No N/A 3.4
Is inspector escorted while on facility property?
3.5
Is split sample obtained from inspector if sample is taken by inspector?
3.6
Is Environmental, Safety and Health Department personnel present on-site during inspection unless otherwise instructed before inspector is allowed access to groundwater or vadose zone monitoring wells?
4.0 Confidential Information (Skip #4.0 if no confidential information is available.) 4.1
Is confidential information in submittal document reviewed by Environmental, Safety and Health Department before submission to regulatory agency?
4.2
Is there a written procedure for handling confidential information?
4.3
If yes to #4.2, does procedure cover: a.
Product formula?
b.
Raw material list?
c.
Raw material usage rate?
d.
Product production quantities?
e.
Detailed process description?
f.
Detailed process flow diagram?
g.
Special proprietary material, device, or system?
h.
New product production start-up date?
Environmental Compliance 151 Yes No N/A 4.4
Is confidential information removed from submittal document or confidentiality requested from regulatory agency?
I. Monitoring Regulatory Activity 1.0 Corporate Program 1.1
Are environmental periodicals subscribed to keep track of new and proposed laws and regulations and control technologies?
1.2
Are copies of all applicable laws and regulations available and maintained?
1.3
Are environmental regulation update services utilized to obtain copies of new regulations?
1.4
Are staff personnel involved in trade or professional associations to maintain updated knowledge?
2.0 Facility Program 2.1
Do facility personnel have subscription or access to environmental periodicals to keep track of new and proposed laws?
2.2
Do facility personnel participate in business, trade, or professional associations to maintain updated knowledge of new and proposed laws?
2.3
If no to #2.1 & 2.2, describe how regulatory activity is tracked.
152 Semiconductor Safety Handbook Yes No N/A J. Recordkeeping 1.0 Compliance Records 1.1
Are environmental compliance records maintained at facility?
1.2
If yes to #1.1, are records maintained for at least 3 years?
1.3
Are the records kept together in a central area at facility?
1.4
Are the permit and other records reviewed at least annually to ensure that required conditions are being met?
1.5
Does facility have a tickler or some other system to track milestone dates for reporting, monitoring, permit renewal, manifest exception, and other actions?
1.6
If yes to #1.5, describe.
1.7
Does facility periodically review permit records to check whether changes in equipment, materials, or operations require permit amendments?
1.8
If yes to #1.7, state review frequency.
1.9
If no to #1.7, describe how changes are monitored and permit amendments accomplished.
Environmental Compliance 153 Yes No N/A 1.10 Are conversation with regulatory agency personnel where compliance is discussed, commitments made, or agreement reached, written up and copy sent to Safety & Health Department? 1.11 If yes to #1.10, are confirming letters sent to regulatory agency when appropriate? 2.0 Regulatory Files 2.1
Does facility maintain copies of appropriate regulations which routinely affect the facility, such as: a.
POTW sewer discharge regulations?
b.
Stormwater discharge regulations?
c.
Hazardous waste management regulations?
d.
County hazardous material ordinance?
e.
County underground tank ordinance?
f.
SPCC regulations?
2.2
Does facility keep appropriate correspondence and documents related to environmental issues such as policy statements and Safety/Environmental Bulletins?
2.3
Does facility keep records of regulatory agency inspections?
2.4
Does facility retain all documents received from regulatory agency and send copies to Environmental, Safety & Health Department?
154 Semiconductor Safety Handbook APPENDIX C* STEP I: BASE FINE (a) The base fine is the greater of: (1) the economic gain plus costs directly attributable to the offense; or (2) a percentage, derived from the Base Fine Table below, of the maximum statutory fine that could be imposed for the offenses of conviction. BASE FINE TABLE
OFFENSE TYPE
PERCENTAGE OF MAXIMUM STATUTORY FINE
(a) An offense involving knowing endangerment (under the Resource Conservation and Recovery Act, Clean Water Act, or Clean Air Act)
90–100%
(b) An offense involving unlawful handling of a hazardous substance or other environmental pollutant resulting in an actual release, discharge, disposal or emission into the environment
60–90%
(c) An offense involving unlawful handling of a hazardous substance or other environmental pollutant creating a material threat of actual release, discharge, disposal or emission into the environment
40–70%
(d) An offense involving knowing Classification; knowing concealment or destruction; knowing omission or tampering
30–50%
(e) Other offenses involving unlawful handling of a hazardous substance or other environmental pollutant not resulting in an actual or threatened release, discharge, disposal or emission into the environment
15–30%
(f) Wildlife offense
__–__%
(g) An offense involving simple recordkeeping and reporting
10–20%
*Excerpted from: U. S. Department of Justice. “Factors in Decision on Criminal Prosecutions for Environmental Violations in the Context of Significant Voluntary Compliance or Disclosure Efforts by the Violator,” Washington, D.C. (1 July 1991)
Environmental Compliance 155 (b) [Where the court finds that the Base Fine calculated pursuant to Step I(a) would be unjust as a result of the unnecessary or excessive repetition of counts relating to a course of offense behavior that is ongoing or continuous in nature and does not involve independent volitional acts, the court may, in the interest of justice, reduce the Base Fine by deleting the unnecessary or repetitious counts from its computation of the Base Fine. In so doing, the court should insure that the Base Fine adequately reflects the seriousness of the offense, the culpability of the defendant and each of the distinct types of criminal violations involved.]* Commentary Statutory Provisions: 7 U.S.C. §§ 136-1361; 15 U.S.C. §§ 2614 and 2615; 33 U.S.C. §§ 403, 406, 407, 411; 1319 (c), 1907, 1908, 1321(b) (5), 1415(b), 1517; 42 U.S.C. §§ 300(h)-2, 6928(d) and (e), 7413 (c) , 9603 (b), (c), and (d), 11045 (4) and (d) (2), 43 U.S.C. §§ 1350, 1816 (a), 1822 (b), 49 B.S.C. §§ 1804, and 1809. For additional statutory provisions, see Appendix A (Statutory Index), United States Sentencing Commission Guideline Manual (November 1, 1992). Application Notes 1. Determinations under this chanter are to be based on the provisions of the guidelines in this chapter. Determinations that reference other chapters are to be made under the standards set forth in those chapters. Also incorporated by reference in this chapter are guidelines 1B1.1 (application notes b and j), 1B1.2, 1B1.4, 1B1.5, 6A1.3, 6B1.1, 6B1.2, 6B1.3, 6B1.4, Application Note 3(a)-(j) inclusive to Section 8A1.2, 8B1.1, 8B1.2, 8B1.3. 2. Where the offenses of conviction include counts governed by this chapter as well as counts governed by other chapters, determine the fines for environmental offenses and nonenvironmental offenses separately. Where the
*This provision presented a particularly difficult issue upon which opinion was sharply divided. Accordingly, public comment is solicited as to whether Step I(b) should be included.
156 Semiconductor Safety Handbook offenses are closely interrelated as defined in Section 3D1.2, whether or not they involve the same act or transaction, then the fine should be based on the greater of the environmental or the nonenvironmental offense fine and adjusted to take into account the specific offense or offender characteristics of the lesser-fined offense. For example, when the nonenvironmental count embodies conduct properly treated as a specific offense characteristic or adjustment to the guidelines determination for the environmental offense and is connected by a common criminal objective or common scheme or plan, treat the offenses as “closely interrelated.” Where the environmental and nonenvironmental offenses are not closely interrelated the fines should be cumulative. 3. “Economic gain” referred to in Step I(a) (1) is defined as 1) the economic benefits that an offender realized by avoiding or delaying capital costs necessary to comply with the environmental statute, based upon the estimated cost of capital to the offender; 2) the continuing expenses (e.g., labor, energy, leases, operation and maintenance) the offender avoided or delayed by noncompliance; and 3) other profits directly attributable to the offense conduct which is described in the criminal charges. 4. The “costs” referred to in Step I(a) (1) include the following provided they are reasonably quantifiable: 1) actual environmental harm, proximately caused by the offense conduct including material degradation of a natural resource, and 2) harms incurred and remediation or other costs borne by others. If any component of such costs cannot be determined, the remaining determinable component shall be used for measuring environmental costs. “Material degradation” is the causing of, or contribution to, the extended or widespread impairment of the condition or usage of a natural resource. “Natural resource” includes land (whether surface or subsurface), fish, wildlife, biota, air, water, and drinking water supplies.
Environmental Compliance 157 5. The factor of harm or risk of harm to the environment (as distinct from releases or threats of releases) is addressed in Step I only to the extent the costs of actual harm are reasonably quantifiable under Step I(a)(1). Accordingly, except to the extent the costs of harm have been calculated under Step I(a) (1), the court shall consider harm or risk of harm under Step II. 6. Each percentage figure is multiplied by the statutory maximum fine for that count according to 18 U.S.C. § 3571(c) without giving effect to 18 U.S.C. § 3571(d). 7. In calculating a base fine under Step I(a)(2), in cases with convictions for more than one type of environmental violation, the court shall calculate the base fine as the sum of the fine for each individual conviction. Accordingly, if a defendant has been convicted of three counts (one each under Step I(a) (2) (b), (c), and (d), the court shall first determine the appropriate percentage of the statutory fine for each of the three counts. The sum of these three individual figures will serve as the base fine under Step I(a) . The offense will be treated as a group for calculations under Step II, III, IV, and V. For any count of conviction (involving lesser included offenses), the court should apply the highest Step I(a) (2) category applicable to the offense. 8. In determining whether there was an actual or threatened release, discharge, disposal, or emission of a hazardous substance or other environmental pollutant into the environment, the court should refer to the applicable statutory and regulatory definitions relating to the statute under which the defendant was convicted. 9. Subsection (a) (2) (b) includes, but is not limited to, the following situations: 1) unlawful treatment of a hazardous waste when the resulting waste material is released into the environment; 2) unlawful export or transportation of hazardous substances when it cannot be determined that it was properly disposed of; 3) violations of work practice standards under the Clean Air Act; 4) falsifications, knowing omissions or
158 Semiconductor Safety Handbook tamperings that conceal an actual release, discharge, disposal or emission of a hazardous substance or pollutant into the environment; 5) releases of hazardous substances or other environmental pollutants while failing to operate properly required measurement or monitoring equipment; and 6) knowing failure to report releases in violation of EPCRTKA, CERCLA or the Clean Water Act. 10. Subsection (a) (2) (d) includes, but is not limited to a conviction for failure to provide notification of a demolition or renovation involving asbestos regulated under the Clear Air Act. 11. A violation presents a material threat of a release if it creates circumstances where a release is more than a remote or hypothetical possibility. 12. “Simple recordkeeping or reporting violations” under Step I(a) (2) (g) are limited to situations where the defendant neither knew nor had reason to believe that the recordkeeping or reporting offense would significantly increase the likelihood of any substantive environmental harm. 13. The Base Fine Table establishes the ranges, expressed in percentages of the maximum statutory fine, that could be imposed for the offense of conviction, These ranges allow the court a limited degree of discretion in setting the appropriate percentage for a given offense. The court’s selection of a given percentage within the applicable range shall be based on the relative gravity of the offense. Factors to be taken into account in determining gravity include: the particular amount of hazardous substance or other environmental pollutant; the relative toxicity of the hazardous substance or other environmental pollutant; and the extent to which an applicable environmental standard, guideline or condition was exceeded.
Environmental Compliance 159 For example: If the violation involved a hazardous substance, the lower that substance’s reportable quantity listed in 40 C.F.R. § 302.4 the higher in the range the base fine should be set; If the offense caused the evacuation or disruption of a public utility, then the base fine should fall at the high end of the range; If the offense involved the release into the environment of a hazardous substance listed in 40 C.F.R. § 302.4 and its reportable quantity was actually exceeded, the base fine should fall at the high end of the range; If the offense involved damage to wetlands or other sensitive habitats, the court should take into account both the size and value of the wetlands or habitat impacted in determining the appropriate base fine within the range. Comment 1: Step I does not follow the procedures for grouping multiple counts set forth in Chapter 3D, which in the case of “closely interrelated” offenses bases the sentence on the offense level for the most serious offense in that group. See § 3D1.3(a). Applied to environmental offenses, this approach could understate the harm that environmental crimes can cause. Thus, Step I does not group environmental offenses, and instead requires the court to consider the aggregate economic gain and environmental costs from each offense of conviction. However, because this alternative approach may create the possibility of inappropriate count proliferation to increase the fine under Step I(a)(2), Step I(b) authorizes the court to reduce repetitious or excessive counts to prevent a disproportionate Base Fine. For example, if an organization committed a storage offense by failing to segregate certain toxic materials or wastes that it did not realize required such special storage and this conduct continued over a year or more, the Base Fine would be disproportionate to the organization’s culpability if each day during this period was charged as a separate offense. Similarly, the negligent discharge of a nontoxic pollutant into a river over a period of
160 Semiconductor Safety Handbook several months as the result of a leaky pipe valve (where no responsible corporate manager was aware of this continuing discharge) should not normally be punished as a separate offense for each day during this period. Rather, in each case, the court should reduce the counts for sentencing purposes to a representative number. Comment 2: No reduction in the number of counts is authorized under Step I(b) where the conduct involved “independent volitional acts.” Thus, it would be inappropriate to reduce the number of counts for sentencing purposes in a case where an organization intentionally discharged pollutants into a river over a sustained period (for example, as the result of knowingly using a hidden bypass valve). The failure to rectify the problem, once it became known, should be viewed as committing “independent” volitional acts. In addition, if the organization has been clearly negligent in failing to detect the continuing discharge, this factor should also be considered by the court in determining whether and to what extent it should reduce the number of counts for purposes of computing the Base Fine. Comment 3: The authority conferred by Step I(b) should be used sparingly. Any reduction under Step I(b) should not be below the level deemed by the court as necessary to adequately reflect the seriousness of the total offense conduct and each of the various types of misbehavior. Questions for public comment: 1) Comment is solicited on whether costs should be included in determining the base fine as provided in Step I(a) (1). 2) Comment is solicited on whether, if costs are so included, these costs should include material degradation of a natural resource. 3) Comment is solicited on whether, if costs are so included, the harms incurred and costs borne by others should be included. 4) Comment is solicited on whether there are other factors that should be included in the determination of the base fine (e.g., human health effects).
Environmental Compliance 161 5) Comment is solicited on whether the costs in Step I(a) (1) should include not only the costs borne by others but also costs borne by the defendant. 6) Comment is solicited on whether Step I(a) (2) should have a specific percentage of the maximum statutory fine set or allow a range (as currently drafted). 7) Comment is solicited on the most appropriate range for the percentage of the statutory maximum for wildlife violations. 8) Comment is solicited on whether Step I(b) should be included.
162 Semiconductor Safety Handbook STEP II: AGGRAVATING FACTORS IN SENTENCING (a) Management Involvement If one or more members of the substantial authority personnel of the organization participated in, condoned, solicited, or concealed the criminal conduct, or recklessly tolerated conditions or circumstances that created or perpetuated a significant risk that criminal behavior of the same general type or kind would occur or continue, increase the Base Fine by ___% to ___%. If a corporate manager lacking the authority or responsibility to be classified as a member of the organization’s substantial authority personnel, but having supervisory responsibility to detect, prevent, or abate the violation, engaged in the criminal conduct, increase the Base Fine by ___% to ___%. Commentary Comment: The term “substantial authority personnel” is defined in application note 3(c) to § 8A1.2. The determination of an individual employee’s status within the organization should be made on a caseby-case basis. However, for the purposes of environmental sanctions, plant managers and senior environmental compliance personnel will almost invariably be deemed “substantial authority personnel.” In determining the extent to apply this factor under this provision, the court should look to the extent, duration and pervasiveness of any managerial involvement and the level of the specific employee involved. Although the determination of an employee’s status within the organization must be done on a case-by-case basis, personnel such as loading dock foremen or night watchmen would ordinarily not be classified as corporate managers having supervisory responsibility to detect, prevent, or abate violations, even if in fact they possessed some preventive capability. (b) Threat to the Environment If the organization (i) caused actual and identifiable harm to the environment that materially degraded a natural resource, or (ii) knowingly created a significant risk of material degradation of a natural resource, increase the Base Fine by ___% to ___%.
Environmental Compliance 163 Commentary Comment: For the definition of “materially degraded,” refer to Application Note 4 under step I. This factor should be considered only to the extent that it is not taken into account in the calculation under step I(a) (1). (c) Threat to Human Life or Safety If the organization (i) caused death or serious bodily injury, or (ii) knowingly created a significant risk of such harm, increase the Base Fine by ___% to ___%. Commentary Comment: The threat to human life or safety should be considered only to the extent that it is not taken into account in the calculation under Step I (a) (1). (d) Scienter If employees or agents of the corporation knowingly engaged in conduct that violated the law under circumstances that evidenced at least a reckless indifference to legal requirements, increase the Base Fine by ___% to ___%. Commentary Comment: Ignorance of the law is rarely an excuse. However, when the offender is aware of or indifferent to the criminal character of the conduct, such scienter is an aggravating factor that increases the culpability of the offense. In determining the amount by which to enhance the fine under Step II (d), the court should consider such factors as: (1) the level of intent (a knowing and willful intent to violate the law merits the greatest enhancement, while reckless behavior undertaken with awareness of the possibility that it was unlawful would merit a lesser increase); (2) the level of the employee within the organization possessing the requisite state of mind (where awareness of probable unlawfulness can be attributed to substantial authority
164 Semiconductor Safety Handbook personnel, the enhancement may appropriately be raised to or near the maximum authorized by this provision); (3) the pervasiveness of the awareness or knowledge; and (4) whether the individual acting with knowledge or awareness of actual or probable illegality was primarily pursuing personal, non-organizational policies (the socalled “rogue employee”), in which case no or minimum enhancement would be appropriate. There shall be a presumption that high level personnel are not considered rogue employees. Knowledge or awareness of probable illegality will normally have to be inferred by the court from circumstantial evidence. Covert or evasive behavior is often an indication of such knowledge or awareness. (e) Prior Criminal Compliance History If the organization committed any part of the instant offense less than 5 years after a criminal adjudication of violation of federal or state environmental law, increase the Base Fine by ___% to ___%, but if the prior adjudication is for similar misconduct, increase the Base Fine by ___% to ___%. Commentary Comment 1: A prior criminal adjudication includes an adjudication of an offense which occurs at the same or a different location or facility, and includes convictions under Title 18 where the underlying behavior involves noncompliance with environmental statutes or regulations, e.g., 371, 1001, 1341. “Similar misconduct” includes similar actions or omissions at the same or a different location or facility and without regard to whether such prior misconduct was adjudged a violation of the same statutory provision as the instant offense. Comment 2: For purposes of Step II(e) and (f), the term organization includes subsidiaries (including subsidiaries where the ownership is less than 100%) where the subsidiary is not “separately managed” by independent management. See also Comments 5 and 6 to Application Notes to § 8C2.5. For example, assume that XYZ, Inc. is the largest single shareholder with 51% of the XYZ Shipping Company, whose operations largely consist of shipping petroleum products for XYZ, Inc. and whose officers report to XYZ’s management. On these facts,
Environmental Compliance 165 XYZ’s prior civil and criminal history would include both criminal convictions and civil or administrative adjudications of XYZ Shipping Company. (f) Prior Civil Compliance History If the number, severity, or pattern of the organization’s prior civil or administrative adjudications within the five years prior to the date of the instant conviction, when considered in light of the size, scope and character of the organization and its operations, reveals a disregard by the organization of its environmental regulatory responsibilities, increase the Base Fine by ___% to ___%, but if a prior civil or administrative adjudication is for similar misconduct, increase the Base Fine by ___% to ___%. Commentary Comment 1: Under this provision the court should undertake a qualitative assessment of the organization’s prior environmental regulatory history over the five years prior to the instant conviction. Because organizations differ materially in the size and scope of their operations, a simple mechanical counting rule for past adjudications has been rejected. For some organizations, because of their scale or constant involvement with environmental regulation, a prior history of civil or administrative adjudications may neither show special culpability nor merit any significant enhancement of the Base Fine under this provision, Conversely, a prior serious violation or a pattern of less serious adjudications (even by a very large organization may show inattention to the organization’s regulatory responsibilities or even a willingness to accept fines as a cost of doing business. In either case, this would indicate the need for enhancement of the penalty. An organization’s prior history may also indicate types of offenses that it should have taken special care to prevent. The recurrence of similar misconduct can be highly probative evidence of an organization’s disregard of its corporate responsibility and its failure to take all necessary steps to prevent continued misconduct .
166 Semiconductor Safety Handbook Comment 2: A prior administrative or civil adjudication includes an adjudication of an offense which occurs at the same or different location or facility. “Similar misconduct” includes similar actions or omissions at the same or different location or facility and without regard to whether such prior misconduct was adjudged a violation of the same statutory provision as the instant offense. (g) Concealment If any employee or agent of the organization sought to conceal the violation or to obstruct administrative, civil, or criminal investigation of the violation by knowingly furnishing inaccurate material information or by knowingly omitting material information, increase the Base Fine by ___% to ___%. Commentary Comment: This aggravator is primarily directed at conduct engaged in during the course of an investigation. In any event, this aggravator would not apply to offenses treated under Step I(a) (2) (d) where the predicate offense involves the same concealment conduct. This aggravating factor relates to non-privileged information that is either required by law to be furnished or given voluntarily by any employee or agent of an organization to a federal, state or local official or agency. It includes information furnished in either written or oral form. The provision is not to be construed as a disclosure requirement where none otherwise exists; however, if disclosure is either legally required or voluntarily made, knowing efforts to mislead regulatory authorities by furnishing inaccurate material information or omitting material information shall be a basis for increasing the fine level. Such efforts include indirect concealment, for example, where A provides information directly to B, who then uses A’s information in preparing a submission to EPA. A either gives B inaccurate material information or omits material information in an effort to conceal a violation from the government. If A knows that his act will cause B (an unwitting player in this example) to mislead regulatory authorities, the aggravator applies.
Environmental Compliance 167 (h) Violation of an Order If the commission of the instant offense violated a judicial order or injunction (other than a condition of probation), an administrative order, a cease and desist order, or occurs following a notice of violation for the same offense conduct, increase the Base Fine by ___% to ___%. Commentary Comment: The amount by which the Base Fine is increased will depend upon the type of order issued to, and violated by, the defendant (e.g., judicial v. administrative), and the degree of contempt exhibited by the defendant. (i) Absence of Compliance Program or Other Organized Effort If, prior to the offense, the organization either had no program or other organized effort to achieve and maintain compliance with environmental requirements, or it had such a program in form only and had substantially failed to implement such a program, increase the Base Fine by ___% to___%. Comment 1: To establish a basis for avoiding aggravation of the Base Fine under this provision, the organization must document the existence of some form of program or other organized effort to achieve and maintain compliance. To establish a basis for aggravation of the Base Fine under Step II (i), the prosecution must carry the burden of demonstrating that the organization substantially failed to implement a program or other organized effort to achieve and maintain compliance. Comment 2: “Environmental requirements” include all legally enforceable environmental compliance obligations imposed by federal, state or local statute, regulation, permit, judicial or administrative decree, order and agreement, or other similar means. Comment 3: In order to evaluate an organization’s environmental compliance program or other organized effort, the court may utilize experts as specified in Comment 4 to Step III.
168 Semiconductor Safety Handbook (j) Absence of a Permit If the conduct underlying commission of the instant offense occurred without a requisite permit, increase the Base Fine by ___% to ___%.* Commentary Comment: Reference to a permit includes any permit or license, or its equivalent which may be required under federal, state or local pollution abatement programs. For example, this provision would include a permit for a tie-in to a municipal or regional sewage treatment system; a manifest for the transportation of hazardous waste; a foreign country’s consent for the export of hazardous waste; or an international agreement governing the export of hazardous waste. This provision also applies to situations covered by a federal, state or local permit, but where the permitting authority would never issue a permit for the type of conduct in question. For example, this provision would apply to the discharge of a load of solvents by a tanker into a navigable water; or the dumping of drums of hazardous waste on the side of a road.
MITIGATING FACTORS IN SENTENCING (k) Commitment to Environmental Compliance If the organization demonstrates that, prior to the offense, it had committed the resources and the management processes that were reasonably determined to be sufficient, given its size and the nature of its business, to achieve and maintain compliance with environmental requirements, including detection and deterrence of criminal conduct by its employees or agents, reduce the Base Fine by ___% to ___%. If an individual within high-level personnel of the organization participated in, condoned, or was willfully ignorant of the offense, there shall be a
* The Group was divided as to whether (1) this provision should be included as an aggravator, (2) whether it is better dealt with as a basis, in Application Note 13 to Step I, for setting a base fine at the high end of the range, or (3) whether it should be eliminated entirely. Comment is solicited on any of these three questions.
Environmental Compliance 169 rebuttable presumption that the organization had not made a commitment sufficient to achieve and maintain compliance with environmental requirements as described in Step III. In order to grant any mitigation under this provision, the court must conclude that all of the factors described in Step III were substantially satisfied. Commentary Comment: “High-level personnel of the organization” is defined in the Commentary to § 8A1.2 (Application Instructions - Organizations). (l) Cooperation and Self-Reporting (1) If the organization (a) prior to an imminent threat of disclosure or government investigation, and (b) within a reasonably prompt time after becoming aware of the offense, reported the offense to appropriate governmental authorities, fully cooperated in the investigation and clearly demonstrated recognition of its responsibility and took all reasonable steps to assess responsibility within the organization and prevent recurrence, reduce the Base Fine by ___% to ___%; provided, however, that no credit shall be given where reporting of the offense was otherwise required by federal law. (2) If the organization pleaded guilty before the government was put to substantial effort or expense in preparing for trial, fully cooperated with the prosecution, and took all reasonable steps to assess responsibility within the organization and prevent recurrence, reduce the Base Fine by ___% to___%. (3) If the organization pleaded guilty before the prosecution was put to substantial effort or expense in preparing for trial and cooperated with the prosecution in all relevant respects except by failing to disclose the names and
170 Semiconductor Safety Handbook identities of responsible individuals known to it (or names and identities that it could have reasonably ascertained), reduce the Base Fine by ___% to___%. Commentary Comment: As used in this provision, “fully cooperated” with the prosecution includes providing the names and identities of all individuals and other persons known or reasonably ascertainable to the organization whose conduct may be relevant to the underlying offense conduct. This would include both officers and employees within the organization, outside agents, individuals within other organizations, and other coconspirators. To “fully cooperate, “ the organization must also provide all pertinent information known to or ascertainable by it that would assist law enforcement personnel in identifying the nature and extent of the offense. See Comment 12 to Application Notes to § 8C2.5. Failing such cooperation in identifying potentially responsible individuals or other persons, the organization may receive only the sentencing reduction under this provision provided for in subclause (3) above. If the organization’s cooperation meets the standards described in more than one provision of this provision, apply the provision with the largest Base Fine reduction. (m) Absence of Scienter If the criminal conduct was the result of negligent errors or omissions or was imposed on the basis of strict liability or collective knowledge and no corporate employee or agent acted with a level of intent at least equal to that of reckless indifference, reduce the Base Fine by ___% to___ %. (n) Remedial Assistance If the organization takes prompt action to provide assistance (in addition to any legally required restitution or remediation) to the victims of its crime to mitigate their losses, reduce the Base Fine by ___% to___%.
Environmental Compliance 171 Questions for public comment: 1) Comment is solicited as to the appropriate classification of consent decrees under Step II(f) and whether they should be viewed as a prior adjudication for purposes of this provision. 2) Comment is solicited on whether, if Step II(j) is eliminated, an aggravator should be added to increase the Base Fine in cases where the offender by its conduct demonstrates substantial disregard of its obligations to operate within the applicable regulatory framework designed for the protection of human health or the environment. 3) Comment is solicited as to whether mitigation credit should be given under Step II(I) absent full disclosure of all documents, statements or other material, including privileged information. 4) Comment is solicited on whether Step II(n) provides an undue benefit.
172 Semiconductor Safety Handbook STEP III: FACTORS FOR ENVIRONMENTAL COMPLIANCE The court must conclude that the following factors were substantially satisfied, at a minimum, in determining that the organization has made a commitment to environmental compliance. (a) Line Management Attention to Compliance. In the day-to-day operation of the organization, line managers, including the executive and operating officers at all levels, direct their attention, through the routine management mechanisms utilized throughout the organization (e.g., objective setting, progress reports, operating performance reviews, departmental meetings), to measuring, maintaining and improving the organization’s compliance with environmental laws and regulation. Line managers routinely review environmental monitoring and auditing reports, direct the resolution of identified compliance issues, and ensure application of the resources and mechanisms necessary to carry out a substantial commitment. (b) Integration of Environmental Policies, Standards and Procedures. The organization has adopted, and communicated to its employees and agents, policies, standards and procedures necessary to achieve environmental compliance, including a requirement that employees report any suspected violation to appropriate officials within the organization, and that a record will be kept by the organization of any such reports. To the maximum extent possible given the nature of its business, the organization has analyzed and designed the work functions (e.g., through standard operating procedures) assigned to its employees and agents so that compliance will be achieved, verified and documented in the course of performing the routine work of the organization. (c) Auditing, Monitoring, Reporting and Tracking Systems. The organization has designed and implemented, with sufficient authority, personnel and other resources, the systems and programs that are necessary for: (i) frequent auditing (with appropriate independence from line management) and inspection (including random, and, when necessary, surprise audits and inspections) of its principal operations and all pollution control facilities to
Environmental Compliance 173 assess, in detail, their compliance with all applicable environmental requirements and the organization’s internal policies, standards and procedures, as well as internal investigations and implementation of appropriate, followup countermeasures with respect to all significant incidents of noncompliance; (ii) continuous on-site monitoring, by specifically trained compliance personnel and by other means, of key operations and pollution control facilities that are either subject to significant environmental regulation, or where the nature or history of such operations or facilities suggests a significant potential for noncompliance; (iii) internal reporting (e.g., hotlines), without fear of retribution, of potential noncompliance to those responsible for investigating and correcting such incidents; (iv) tracking the status of responses to identified compliance issues to enable expeditious, effective and documented resolution of environmental compliance issues by line management; and (v) redundant, independent checks on the status of compliance, particularly in those operations, facilities or processes where the organization knows, or has reason to believe, that employees or agents may have, in the past, concealed noncompliance through falsification or other means, and in those operations, facilities or processes where the organization reasonably believes such potential exists. (d) Regulatory Expertise, Training and Evaluation. The organization has developed and implemented, consistent with the size and nature of its business, systems or programs that are adequate to: (i) maintain up-to-date, sufficiently detailed understanding of all applicable environmental requirements by those employees and agents whose responsibilities require such knowledge;
174 Semiconductor Safety Handbook (ii) train, evaluate, and document the training and evaluation, of all employees and agents of the organization, both upon entry into the organization and on a refresher basis, as to the applicable environmental requirements, policies, standards (including ethical standards) and procedures necessary to carry out their responsibilities in compliance with those requirements, policies and standards; and (iii) evaluate employees and agents sufficiently to avoid delegating significant discretionary authority or unsupervised responsibility to persons with a propensity to engage in illegal activities. (e) Incentives for Compliance. The organization has implemented a system of incentives, appropriate to its size and the nature of its business, to provide rewards (including, as appropriate, financial rewards) and recognition to employees and agents for their contributions to environmental excellence. In designing and implementing sales or production programs, the organization has insured that these programs are not inconsistent with the environmental compliance programs. (f) Disciplinary Procedures. In response to infractions, the organization has consistently and visibly enforced the organization’s environmental policies, standards and procedures through appropriate disciplinary mechanisms, including, as appropriate, termination, demotion, suspension, reassignment, retraining, probation, and reporting individuals’ conduct to law enforcement authorities. (g) Continuing Evaluation and Improvement. The organization has implemented a process for measuring the status and trends of its effort to achieve environmental excellence, and for making improvements or adjustments, as appropriate, in response to those measures and to any incidents of noncompliance. If appropriate to the size and nature of the organization, this should include a periodic, external evaluation of the organization’s overall programmatic compliance effort, as reflected in these factors.
Environmental Compliance 175 Commentary Comment 1: The organization must carry the burden of demonstrating that it has made the substantial commitment necessary to be entitled to mitigation of the Base Fine. Under Step II(i) the demonstration should be made primarily by providing documentation, as of the time of the offense, pertaining to the factors described in this commentary Comment 2: For the definition of “environmental requirements,” see Comment 2 to Step II(i). Comment 3: In assessing the extent of an organization’s commitment, both the size and the nature of the organization are relevant. Ordinarily, organizations with larger numbers of operating facilities or pollution control activities and obligations should have more extensive and sophisticated environmental management systems, programs and resources of the nature described in this commentary than would be expected of similar, but smaller organizations. Similarly, organizations whose business activities may pose significant risks of harm to human health or the environment from noncompliance with environmental requirements (e.g., manufacture, use or management of hazardous products, materials or wastes) should have more extensive and sophisticated systems, programs and resources than would be expected of comparably sized organizations in less risky types of business. Small organizations should demonstrate the same degree of commitment to environmental compliance as larger ones, although generally with less formality and less dedicated resources (if any) than would be expected of larger organizations. While each of the functions and objectives described in Step III should be substantially satisfied by all organizations, the small organization typically will rely on management personnel, operations personnel or others to assume compliance support responsibilities in addition to their routine duties, and will have less sophisticated systems for establishing compliance procedures, auditing and tracking compliance issues, training employees and carrying out the other programmatic components of their compliance effort. For example; in a very small business, the manager or proprietor, as opposed to independent compliance personnel, might perform routine audits with a simple checklist, train employees through informal staff meetings, and perform compliance monitoring through daily “walk-arounds” or continuous observa-
176 Semiconductor Safety Handbook tion while managing the business. In appropriate circumstances, this reliance existing resources and simple systems can demonstrate the same degree of commitment that, for a much larger organization, would require, for example, a full-time audit department, a training staff, an active compliance monitoring staff, and computer systems for tracking the resolution of compliance issues. The essential requirement is that each organization must demonstrate, through appropriate documentation, that the resources and management processes it utilized were reasonably determined to be sufficient to perform the basic functions described in Step III. If, prior to the conviction, the organization had a reasonable basis to believe that its commitment of resources and processes would be sufficient, given its size and the nature of its business, then an appropriate mitigation value should be applied even though that commitment proved insufficient to prevent the offense of conviction. Comment 4: In order to evaluate the demonstration of an organization’s environmental compliance commitment, the documentation of its program or other organized effort, and the prosecution’s challenges thereto, the court may engage such experts as it finds necessary, and the cost of such experts shall be paid by the organization. In its selection of such experts the court shall consider the recommendations of the prosecution and the defense. Any experts engaged by the court shall be given access to all information provided by the organization in support of its demonstration or its documentation, and to such other information as the court deems necessary for the expert to make an effective evaluation, taking into account any claims of privilege by the organization.
Environmental Compliance 177 STEP IV: GENERAL LIMITATIONS (a) Limitation on Cumulative Effect of Mitigating Factors In no event may a fine determined under these guidelines be reduced as the result of mitigating factors to a level below the greater of (a) fifty percent [50%] of the Base Fine calculated in Step I or (b) the economic gain from the offense, if calculated under Step I(a) (1) in the determination of the Base Fine. Commentary Comment 1: To assure an adequate deterrent sufficient to deter third parties, the above provision specifies a floor below which the fine cannot be further reduced as the result of mitigating factors. Example: Assume that in a given case the Base Fine was $1,000,000 and that the economic gain realized by the defendant corporation as a result of the crime was $600,000. On these facts, even if the mitigating factors recognized by the court to be present totalled $800, 000, the fine could not be reduced below $600,000 (the “economic gain” from the offense). If there were no such cost savings, the fine could not be reduced below $500,000 (i.e., 50% of the Base Fine of $1,000,000). Comment 2: This minimum floor provision does not limit the authority of the court to reduce the Base Fine by deleting the “unnecessary or repetitious counts” pursuant to Step I(b) of this subchapter or to depart from the guidelines in appropriate circumstances. (b) Inability to Pay The court shall reduce the fine below that otherwise required to the extent that imposition of such fine would impair the defendant’s ability to make restitution to the victim. The court may impose a fine below that otherwise required by this chapter if the court finds that:
178 Semiconductor Safety Handbook (1) imposition of the required fine would result in the liquidation or cessation of all or a significant part of the business operations of the defendant due to the defendant’s inability to pay the fine even with the use of a reasonable installment schedule; (2) the defendant is not a “Criminal Purpose Organization” as described in § 8C1.1 of the Guidelines; and (3) the defendant has not engaged in a sustained pattern of serious environmental violations. The reduction allowed under Step IV(b) shall not be more than necessary to avert the threatened liquidation or cessation of business operations. Questions for public comment: 1) Comment is solicited as to whether the limitation on the cumulative effect of mitigating factors should be framed in terms of a fixed reduction of the percentage of the Base Fine calculated in Step I rather than a fixed percentage of the Base Fine as the current draft envisages. For example, if the court determines that the appropriate percentage of maximum statutory fine, pursuant to Step I(a) (2) (a), for a knowing endangerment violation is 90%, and the limitation on the cumulative effect of mitigating factors is 50% pursuant to Step IV(a), the resulting limitation would be 40% of the Base Fine (90% - 50%). Similarly, if the court determines that the appropriate percentage of maximum statutory fine, pursuant to Step I(a) (2) (c), for an unlawful handling of a hazardous substance violation is 60%, and the limitation on mitigation pursuant to Step IV(a) is 50%, the resulting limitation would be 10% (60% - 50%). 2) Comment is solicited on whether 50% is the appropriate percentage under Step IV(a) for limitation on the cumulative effect of mitigating factors. 3) Comment is solicited on whether a limitation on the effect of aggravating factors should be included in Step IV(a) or a similar provision.
Environmental Compliance 179 STEP V: PROBATION — ORGANIZATIONS
(a) Imposition of Probation for Environmental Crimes Organizations. The court shall order a term of probation if the court finds that: (1) such sentence is advisable to secure payment of restitution (§8Bl.l), enforce a remedial order (§8B1.2), or ensure completion of community service (§8B1.3); or (2) the organization is sentenced to pay a monetary penalty (e.g., restitution, fine, or special assessment), the penalty is not paid in full at the time of sentencing, and restrictions are necessary to safeguard the organization’s ability to make payments; or (3) at the time of sentencing, the organization does not have an effective program to prevent and detect violations of law; or (4) such sentence is advisable to ensure that changes are made within the organization to reduce the likelihood of future criminal conduct; or (5) the organization within five years prior to sentencing engaged in similar misconduct, as determined by a prior criminal, civil, or administrative adjudication, and any part of the misconduct underlying the instant offense occurred after that adjudication; or (6) any officer, manager, or supervisor within the organization or within the unit of the organization within which the instant offense was committed (a) participated in, (b) ordered, directed, or controlled the conduct of
180 Semiconductor Safety Handbook others in the commission of, or (c) consented to the misconduct underlying the instant offense and that individual within five years prior to sentencing engaged in similar misconduct, as determined by a prior criminal, civil, or administrative adjudication, and any part of the misconduct underlying the instant offense occurred after that adjudication; or (7) the sentence imposed upon the organization does not include a fine; or (8) such sentence is advisable to accomplish one or more of the purposes of sentencing set forth in 18 U.S.C. § 3553 (a) (2). (b) Term of Probation - Organizations (1) When a sentence of probation is imposed — (i) In the case of a felony, the term of probation shall be at least one year but not more than five years. (ii) In any other case, the term of probation shall be not more than five years. Commentary Application Note: Within the limits set by the guidelines, the term of probation should be sufficient, but not more than necessary, to accomplish the court’s specific objectives in imposing the term of probation,
Environmental Compliance 181 (c) Conditions of Probation - Organizations (1) Pursuant to 18 U.S.C. § 3563(a) (1), any sentence of probation shall include the condition that the organization shall not commit another federal, state, or local crime during the term of probation. (2) Pursuant to 18 U.S.C.§ 3563(a) (2), if a sentence of probation is imposed for a felony, the court shall impose as a condition of probation at least one of the following: a fine, restitution, or community service, unless the court finds on the record that extraordinary circumstances exist that would make such condition plainly unreasonable, in which event the court shall impose one or more other conditions set forth in 18 U.S.C. § 3563(b). (3) The court may impose other conditions that (1) are reasonably related to the nature and circumstances of the offense or the history and characteristics of the organization; and (2) involve only such deprivations of liberty or property as are necessary to effect the purposes of sentencing. (4) If probation is ordered under Step V(a) (3) or (4), the court shall impose the conditions set forth in this paragraph.. If probation is ordered under Step V(a) (5) or (6), the court shall impose any of the following conditions it deems necessary in order to achieve and maintain compliance with applicable environmental law. That determination of necessity shall be made in writing after the parties have had the opportunity to present relevant information to the court. (i) The organization shall develop and submit to the Court a program to identify and correct any conditions that gave rise to the conviction and to prevent and detect any future violations, including
182 Semiconductor Safety Handbook (i) an effective program to detect and prevent future violations of law and (ii) a schedule of implementation of any such program. (ii) Any such proposed program shall be made available for review by the government. (iii) If the organization fails to submit a satisfactory program, the court shall engage such experts as it finds necessary to prepare such a program, and the cost of such experts shall be paid by the organization. Any experts engaged by the court shall be given access to such information in the possession of the organization as the court deems necessary to the effective accomplishment of the experts’ task. (iv) No program shall be approved that is less stringent than any applicable statutory or regulatory requirement. (v) Upon approval by the court of a program to identify and correct any conditions that gave rise to the conviction grid to prevent and detect violations of law, the organization shall notify its employees as the court deems appropriate and shall notify shareholders and the public of its criminal behavior and of the terms of the approved program. Such notice shall be in a form prescribed by the court. (vi) The organization shall make periodic reports to the court, to the probation officer, or to any person or entity designated by the court, at intervals and in a form specified by the court, regarding the organization’s progress in implementing the
Environmental Compliance 183 approved program. Among other things, such reports shall disclose any additional criminal prosecution, civil litigation involving its environmental responsibilities, or environmental administrative proceedings commenced against, the organization, or any investigation or formal inquiry by governmental authorities relating to federal, state or local environmental health or safety matters of which, the organization learned since its last report. Copies of any such periodic reports shall be furnished to the government. (vii) In order to monitor the organization’s compliance with the approved program, the court may order the organization to submit to such examination of its books and records, inspections of its facilities, testing and monitoring of its operation and regular or unannounced examinations of its employees as the court deems necessary. Compensation to and costs of any experts engaged by the court shall be paid by the organization. Reports on any such monitoring activities shall be filed with the court and copies shall be furnished to the government and the organization. (5) If probation is imposed under Step V(a), the following conditions may be appropriate to the extent they appear necessary to safeguard the organization’s ability to pay any deferred portion of an order of restitution, fine, or assessment. (i) The organization shall make periodic submissions to the court or probation officer, at intervals specified by the court, reporting on the organization’s financial condition and results of
184 Semiconductor Safety Handbook business operations, and accounting for the disposition of all funds received. (ii) The organization shall submit to: (a) a reasonable number of regular or unannounced examinations of its financial or appropriate corporate books and records at appropriate business premises by the probation officer or experts engaged by the court; and (b) interrogation of knowledgeable individuals within the organization. Compensation to and costs of any experts engaged by the court shall be paid by the organization. (iii) The organization shall be required to notify the court or probation officer immediately upon learning of (a) any material adverse change in its business or financial condition or prospects, or (b) the commencement of any bankruptcy proceeding, major civil litigation, criminal prosecution, or administrative proceeding against the organization, or any investigation or formal inquiry by governmental authorities regarding the organization. (iv) The organization shall be required to make periodic payments, as specified by the court, in the following priority: (1) restitution; (2) fine; and (3) any other monetary sanction. (d) Additional Conditions of Probation (Policy Statement) The court may order the organization, at its expense and in the format and media specified by the court, to publicize the nature of the offense committed, the fact of conviction, the nature of the punishment imposed, and the steps that will be taken to prevent the recurrence of similar offenses.
Environmental Compliance 185 Commentary Application Notes: 1. In fashioning the conditions of probation, the court shall place particular emphasis on provisions requiring the organization to identify and correct the violations. 2. When probation is imposed under Step V(a) (5) or (6), it may not be necessary to include certain provisions of Step V(c). For example certain provisions under Step V(c) would be unnecessary if the organization has a satisfactory compliance program in place, the offense is attributable to the actions of a particular employee, and that employee has been fired or severely disciplined. 3. In engaging any expert under Step V(c)(4) (iii) or (vii), the court shall submit to the organization and the government the identity and qualifications of any such expert who may be considered. 4. In order to assess the efficacy of a program submitted by the organization under Step V(c) (4) (i) or to permit an expert to prepare such a program under Step v(c) (4) (iii), the court shall order access to such material possessed by the organization as is necessary to a comprehensive evaluation of the proposed program. 5. In connection with the organization’s submission of a report to the government regarding the existence and nature of any investigations or formal inquiries by governmental authorities, it may be appropriate for the organization to seek, and the court to grant, a protective order that preserves the confidentiality of such information.
186 Semiconductor Safety Handbook (e) Violations of Conditions of Probation - Organizations (Policy Statement) Upon a finding of a violation of a condition of probation, the court may extend the term of probation, impose more restrictive conditions of probation, or revoke probation and resentence the organization. Commentary Application Note: 1. In the event of repeated, serious violations of conditions of probation, the appointment of a master or trustee may be appropriate to ensure compliance with court orders. Questions for public comment: 1) Comment is solicited on whether organizations should be required to notify shareholders of any environmental conviction. 2) Comment is solicited on whether organizations should be required to notify employees at a facility when the organization is convicted of environmental crimes at that facility.
Chemical Hazards in Semiconductor Operations 187
3 Chemical Hazards in Semiconductor Operations Thomas E. Hawkinson and Daryl B. Korpela
OVERVIEW Industrial hygiene began life in the metals trade. Mining and smelting of metals were the backbone of technological and industrial growth. Indeed, whole eras of human development are associated with the increasing sophistication with which humankind has worked with metals; the copper age was succeeded by the bronze age that was in turn succeeded by the iron age. We are embarked on a new age characterized by new materials that define our civilization; it may eventually be known as the silicon age. Just as the metals defined the age, diseases and disorders associated with mining and metals beneficiation began the study of industrial hygiene. Bernado Ramazzini, the father of industrial hygiene, studied the effects of lead mining on miners. The earliest technical industrial hygiene was done on metal particulates and silica, a common problem in mining and smelting. Industrial hygienists turned their attentions to protecting workers from the ill effects of silica, sand. In a sense, industrial hygiene was born protecting workers from sand. 187
188 Semiconductor Safety Handbook Semiconductors begin life as pure silicon ingots. Because of the small geometries involved, very small particulates in low concentrations can have devastating effects on yield. Air and materials cleaning are much of the focus of semiconductor engineering; the hardest contamination to avoid is that brought to the workplace by the worker. Semiconductor technology has brought us full circle. We are now trying to protect silicon, sand, from the worker. Semiconductor operations are conducted on inorganic substrates. The manipulation of these substrates is done in a way that delivers large amounts of energy to the product. These highly energetic systems are more damaging to the carbon-based forms of existence than most industrial processes. The materials used in semiconductor fabrication are toxic, reactive and corrosive. The circumstances of their use include high vacuum, gas plasmas, high temperature, high flux radiation, and other circumstances in which carbon-based life-forms do not flourish. All of these processes must be done in carefully controlled environments where contaminant levels from chemical and particulate sources are kept to absolute minimums. It is hardly surprising, then, that humans operating semiconductor fabrication systems must be protected from the process and the materials used in the process. A thorough understanding of the hazards of the chemical materials used, the hazards of the equipment that uses them and the by-products produced combine with engineering controls and safeguards to make semiconductor operations possible. Closed systems with carefully designed interlocks prevent worker exposure to most materials, but opening the systems to add or transfer product, adding new materials for processing, cleaning and maintenance all pose issues for the worker. Some materials used in semiconductor operations are highly toxic. Dopant gases such as arsine and phosphine can kill at low concentrations. Corrosives such as hydrochloric acid, hydrofluoric acid and hydrogen peroxide can cause serious damage to eyes and skin. Often they are used in high concentrations at elevated temperatures to increase their efficacy, further aggravating the danger to the worker. By-product materials that must be removed from tooling and fixtures can be carcinogenic, like arsenic. Other products of reaction can be caught in cold traps and cause explosions. The breadth and complexity of the chemistry underlying semiconductor operations merits careful examination and effective worker training. Proper use of engineering controls, personal protective equipment, and knowledge of correct use procedures are imperative in the high tech, high energy world of semiconductors.
Chemical Hazards in Semiconductor Operations 189 Table 1. Semiconductor Chemical Hazards
Process
1.0
Chemical hazards
Cleaning
Strong mineral acids Strong oxidizers Organic solvents
Oxidation
Hazardous atmospheres
Photolith
Organic solvents
Wet Etch
Strong mineral acids Organic solvents
Dry Etch
Plasma etch by-products Halogenated materials
Diffusion/ Implant
Highly toxic gases/vapors Hazardous atmospheres Organic solvents
Metallization
Heavy metals
Maintenance
Highly toxic and reactive by-products Organic solvents
PHOTOLITHOGRAPHY
Photolithography (photolith) materials include solvent systems for delivery of critical films of photolith materials. Special bonding agents such as hexamethyldisilizane are essential to the photolith process and pose special hazards to workers. Development of photolith materials is moving
190 Semiconductor Safety Handbook much more rapidly than toxicology. Most of the substrates are large, complex, photosensitive materials of little suspected toxicologic consequence, but long term exposure to these materials has not been evaluated. Solvent systems used in the photolith process have been associated with reproductive hazards. Glycol ethers, especially those of low molecular weight such as ethylene glycol monoethyl ether and related compounds have been shown to cause reproductive harm in animal models. Most of these have been replaced with less toxic materials; usually higher weight glycol ethers with lower toxicity. The photolith process involves quickly laying down a precise layer of photoactive material, and fixing it to the wafer. Solvent evolution is rapid and baking drives it to completion. Local exhaust and high airflow in the process keep airborne exposures low. Solvents are used to remove resist from defective operations. Resists are removed by chemical etch and plasma etch processes after photoreaction. Dopantcontaminated resist is ultimately removed and disposed.
Table 2. Chemicals Commonly Used in Photolithography Processes
Area Photoresists
Type
Materials
Positive
Ortho-diazoketone Polymethacrylate Polyfluoroalkylmethacrylate Polyalkylaldehyde Polycyanoethylacrylate Polymethylmethacrylate Poly(hexafluorobutylmethacrylate)
Negative
Isoprene Ethyl acrylate Glycidylmethacrylate Copolymer-ethylacrylate
(Cont'd next page.)
Chemical Hazards in Semiconductor Operations 191 Table 2. (cont'd) Area Developers
Other chemicals
Type
Materials
Positive
Sodium hydroxide Potasssium hydroxide Silicates Ethylene glycol Ethanolamine Isopropyl alcohol Phosphates Tetramethyl-ammonium hydroxide Alkyl amine Ethylacetate Methyl isobutyl ketone
Negative
Xylene Aliphatic hydrocarbons n-Butyl acetate Cellosolve acetate Isopropyl alcohol Stoddard solvent Glycol ethers
Solvents and cleaning agents
Deionized water Detergent Isopropyl alcohol Acetone Ethanol Hydrofluoric acid Ammonium hydroxide Hexamethyldisilazane Xylene Cellosolve acetate n-Butylacetate Ethylbenzene Chlorotoluene Glycol ethers
192 Semiconductor Safety Handbook 2.0
WET ETCH
Etching and cleaning processes use the most directly hazardous materials. Strong oxidizers, such as hydrogen peroxide are highly reactive and cause damage similar to acid burns. Acids are used in high concentrations. Hydrofluoric acid is a special hazard. It is not readily discernable from water, but is capable of causing ulcers at relatively low concentrations. These ulcers give no sign of exposure until after the damage has begun, sometimes hours after exposure. The ulcerative process causes spreading, slow to heal, lesions which must be treated medically to reverse the chemical corrosion of the tissue caused by the fluoride ion. Strong acids and oxidizers are often mixed together and sometimes heated to accelerate the chemical reactions. Fume generation can cause a hazardous atmosphere to occur and boiling can spread highly corrosive droplets. Sometimes buffering is used to control the process reaction speed, as in buffered oxide etch (BOE), a solution of hydrofluoric acid and ammonium fluoride. Solvents used in the etching and patterning process include relatively low toxicity materials such as xylene and Stoddard solvent; moderate toxicity materials such as the glycol ethers (sometimes called cellosolves) and their acetates; and materials of higher toxicity such as phenols and chlorotoluene. Metal etching processes, also carried on in wet benches, can have mixtures of strong acids including nitric, sulfuric, phosphoric, hydrochloric. For gold etching, cyanide solutions must be used which can react with acids to form hydrogen cyanide, a deadly gas. Proper ventilation, gloves, face shields and safety goggles, along with impervious aprons or “granny gowns” are required while working in these areas. Most of these operations will be carried out in a hood that has takeoffs both front and rear. These hoods are constructed out of nonreactive materials, usually plastics and are provided with High Efficiency Particulate Air (HEPA) filters which wash down over the processed product to keep particle contamination to a minimum. If the hood is out of balance, the clean air can force contaminants out of the hood into the breathing zone of the worker.
Chemical Hazards in Semiconductor Operations 193 Table 3. Chemicals Commonly Used in Wet Etch Processes
Uses
3.0
Materials
Most Commonly Used
Sulfuric acid Hydrogen peroxide Phosphoric acid Nitric acid Hydrofluoric acid
Special Purpose Chemicals
Hydrochloric acid Acetic acid Sodium hydroxide Potassium hydroxide Ceric ammonium nitrate Potassium iodide Potassium cyanide Ferric chloride Ferric nitrate Ethylene glycol iodine Potassium hydroxide Ethylenediamine Catechol Ammonium fluoride Ethylene glycol monomethyl ether
DRY ETCH
The dry etch process is increasingly being employed as smaller geometries require closer tolerances in the etch process. Dry etching is conducted in a closed chamber in the presence of a gas plasma. The plasma is generated using microwave or radio frequency excitation. The ionized particles remove the etchant materials which can include photoresists, silicon layers or silicon dioxide layers. These highly energetic processes can cause large numbers of intermediates and products of chemical decomposition
194 Semiconductor Safety Handbook to occur. These materials are collected in the cold traps and pump oils which create and maintain the high levels of vacuum needed to permit plasmas to form. The cold trap is a particularly hazardous location, especially for the maintenance personnel who clean them. Reactive mixtures of materials can form which, as the trap is warmed, are released from their solid form back to liquid or gaseous state. Unless the warming is done under an inert gas, oxygen is present to allow oxidation of these materials which can result in rapid reactions. These can cause physical hazards, such as explosions, and can release toxic materials formed by the chemical reactions in the plasma process, which are toxic. These operations need to be carried out with appropriate personal protective devices and in fume hood or local exhaust ventilation. It is also possible that trace amounts of highly toxic materials are present when the vessel is opened and are off-gassed by the product after removal from the etcher. Adequate purging and vacuum flushes will minimize these exposures.
Table 4. Chemicals Commonly Used in Dry Etch Processes Etchant Materials
Materials Removed in Etch Processes
Chlorine
Aluminum
Hydrogen bromide
Nickel/Chromium
Carbon tetrafluoride
Tungsten
Sulfur hexafluoride
Titanium
Trifluoromethane
Platinum
Fluorine
Gold
Fluorocarbons
Germanium
Carbon tetrachloride
Copper
Hydrogen
Silver
Oxygen
Tantalum
Boron trichloride
Silicon
Helium
Silicon dioxide
Argon
Chemical Hazards in Semiconductor Operations 195 4.0
IMPLANT/DIFFUSION
Implanters and diffusion processes add dopant materials to the wafer and drive these atoms into the semiconductor lattice to appropriate depths. The dopant materials are generated from heating of solid dopant sources, vaporization of liquid dopant materials, or injection of gaseous dopants. The ion implanter uses high voltage to drive the dopant into the wafer substrate in a near-vacuum atmosphere. In the process of doing this, stray atoms are also deposited on the fixtures and the vessel which require periodic cleaning. This cleaning is often done using aggressive particulate blasting, such as beadblasting which can liberate the contaminant in fine particulates. Of particular concern is the arsenic residual from arsenicbearing dopants. These materials, which are demonstrated human carcinogens, are scrubbed off fixtures using brushes, abraded off using steel wool or other abrasives or cleaned using blasting systems. Although this is usually done in a hood, significant dust can occur and the use of high pressure air in the blast system and as a blow-off tool can overcome the hood’s ability to capture the particulate. Appropriate disposable Tyvek gowns, gloves and eye and respiratory protection must be used. Wipe samples of the work surfaces and biological monitoring of the workers may also be required. Tooling and wafers removed from the implanter may also produce significant off-gassing of dopants after processing. These gases may evolve for a significant period of time and present a significant exposure hazard because of the high toxicity of the materials. Covering the wafers removed from the implanter and storing them in locally exhausted cabinets will minimize these exposures. Diffusion systems are operated at near atmospheric or, in the hyper case, above atmospheric pressure. Precisely engineered dopant gradients at critical temperatures drive dopants into the lattice structure. Dopants are provided in much higher quantities in reactive atmospheres. Exhaust ventilation is required on both ends of the system to minimize exposures to the operators. The reactive and toxic constituents of the process gas streams must be removed before they can be safely vented away from the process. This usually involves the use of “burn boxes” and scrubbers to remove the toxic components and oxidatively consume any reactive species such as hydrogen or metal hydrides. As a result of these processes, the wafer boats, furnace tubes, duct work and scrubber solutions contain hazardous residues. If the control
196 Semiconductor Safety Handbook systems fail, hazardous materials may be released into the fab or atmosphere; fires and explosions can occur in the scrubbers of ductwork. These systems should have appropriate backup power and active monitoring to minimize the probability of these occurrences. Maintenance personnel should have thorough training and appropriate personal protective equipment when cleaning or maintaining these systems. The gases which supply these systems must be conveyed to them safely. Most process gases are mounted in ventilated gas cabinets and sensors and process interlocks prevent high levels of the gases from being released outside the process. High flow preventers such as critical orifices, flow sensors and auto shutoff valves maintain the gases in controlled circumstances. Continuous monitors provide a backup detection system which can also shut off process gas bottles and alert workers to unacceptable levels of toxic gases in the fab. With short response times, several points can be monitored. Continual calibration and testing can assure that these monitors provide a high level of protection. Although these systems can be overwhelmed or give false positives when high levels of organic solvent vapors are sampled, the increasing reliance on plasma etch systems and high volumes of cleaned air needed for class 10 and class 1 cleanrooms is reducing this problem.
Table 5. Chemicals Commonly Used for Doping Dopant Form
Dopant Materials
Solid
Antimony trioxide Arsenic trioxide Phosphorus pentoxide
Liquid
Antimony trichloride Phosphorous tribromide Phosphorus trichloride Phosphorus oxychloride Boron tribromide Boron trichloride
Gases
Arsine Phosphine Phosphorus pentafluoride Boron trifluoride Diborane
Chemical Hazards in Semiconductor Operations 197 5.0
CLEANING
Wafer cleaning uses strong acids and oxidizer mixtures, including “piranha etch,” to clean away any organic materials adhering to the wafer. Hydrofluoric acid is used to remove any oxide on the wafer. These processes are conducted in a wet-process hood to control vapor off-gassing and with substantial personal protective equipment to avoid contact with these corrosive and reactive materials. Acid exposure by vapor causes severe irritation of the upper respiratory tract. Eyes and mucous membranes exposed to acid vapors can be damaged and exposures to nitric acid can discolor the cornea and skin.
Table 6. Common Cleaning Materials Type of Cleaning
6.0
Materials
General Cleaning
Deionized water Isopropylalcohol Methanol Acetone
Special Purpose Cleaning Chemicals
Hydrogen peroxide Sulfuric acid l,l,l-Trichloroethane Hexafluoroethane Nitrogen trifluoride Hydrogen chloride
METALLIZATION
Metallization occurs in high vacuum deposition systems using electron beam, flash, and resistive heating systems to evaporate the metal onto the substrate. Sputtering systems perform the same function under partial vacuum. Etching, either dry (plasma) or wet etching, processes remove
198 Semiconductor Safety Handbook unwanted metal from the chip. Metals involved in these processes are listed in the table below.
Table 7. Some Commonly Used Metals
7.0
Vacuum
Aluminum Nickel Chromium Tungsten Titanium
Partial Vacuum
Platinum Gold Germanium Copper Silver Tantalum
MAINTENANCE ISSUES
Primary issues in maintenance include potential exposure to any production material or by-product. Mechanical processes such as beadblasting can cause finely divided particles to enter the worker’s breathing zone; strong corrosives may be used to clean parts; solvents are widely used to clean parts, fixtures and production systems. It is important that proper ventilation and personal protective equipment be used. By-product materials, such as cryotrap residues, can contain toxic by-products and condensed materials that can react strongly when warmed or mixed with water or solvents. These reactions can cause explosions or strong heating to occur and present a mechanical hazard as well as potential exposure to toxic materials. Pump oils can contain toxic dopants, their reductive species, and in the case of inert blanketed pumping systems, hydride gases or other reactive dopant species. Awareness of where equipment sent for maintenance has been used and a thorough understanding of the chemistries involved will keep maintenance personnel safe. The importance of adequate training cannot be overemphasized.
Chemical Hazards in Semiconductor Operations 199 Some fixed equipment including ductwork, piping or waste treatment systems can also be a source of toxic chemical hazards. Ductwork can be coated with residual materials; scrubber systems will contain trace toxic materials from the process; piping must be adequately purged before work can be safely undertaken. On-site treatment systems to react solvents, or remove metals can produce toxic sludges and potential exposures. Adequate training and protective equipment will prevent exposure.
8.0
USE OF GASES
A wide variety of gases are used throughout the semiconductor manufacturing process(es). Applications include use as reactants, coolants, carriers/transportation and as inert shields. Many of these gases are commonly used in general industry, however, extreme purity is often a requirement in the semiconductor industry. Many more of the gases are unique/exotic and the industry frequently utilizes various mixtures of gases. Major processes dependent on gases include crystal growth, epitaxy, diffusion, chemical vapor deposition, sputtering, ion implant, plasma etching, packaging and testing. Nitrogen, oxygen and hydrogen are high-use gases and are frequently stored in bulk systems. The remaining gases are typically stored/used in compressed gas cylinders of varying sizes.
Table 8. Gases in the Semiconductor Industry Gas/Chemical
Hazard
Ammonia
flammable, corrosive
Argon
inert
Arsenic pentafluoride
toxic, corrosive
Arsine
toxic, flammable
Boron trichloride
corrosive
Boron trifluoride
corrosive (Continued next page)
200 Semiconductor Safety Handbook Table 8. (cont'd)
Gas/Chemical
Hazard
Carbon monoxide
toxic, flammable
Chlorine
toxic, corrosive
Diborane
toxic, flammable
Diethyltelluride
toxic, flammable
Dichlorosilane
flammable, corrosive
Dimethylzinc
toxic, flammable
Freons
inert
Germane
toxic, flammable
Helium
inert
Hydrogen
flammable
Hydrogen chloride
corrosive
Hydrogen sulfide
toxic, flammable
Nitrous oxide
oxidizer
Nitrogen
inert
Nitrogen trifluoride
toxic, oxidizer
Oxygen
oxidizer
Perfluoropropane
inert
Phosphine
toxic, flammable
Phosphorous pentafluoride
toxic, corrosive
Silane
flammable
Silicon tetrachloride
corrosive
Silicon tetrafluoride
toxic, corrosive
Chemical Hazards in Semiconductor Operations 201 Solvents comprise a major portion of the total volume of chemicals used in the semiconductor industry. Because the technology changes so rapidly, actual materials used and their associated volumes vary widely. They are utilized as cleaners, degreasers, diluents, and reactants.
Table 9. Solvents
Solvent
TLV (ppm)
Acetone
750
Butyl acetate
150
Chlorobenzene
10
Ethyl alcohol
1000
Ethylene glycol
C 50
Hexamethyldisilazine (10%)
N/A
Isopropyl alcohol
400
Methyl alcohol
200
Methylene chloride
50
Methyl ethyl ketone
200
Percholorethylene
25
Toluene
50
Trichloroethylene
50
Xylene
100
1,1,1-Trichloroethane
350
202 Semiconductor Safety Handbook Table 10. Dopants
Dopant
Method(s)
Antimony Antimony trioxide
TLV (mg/m 3) 0.5
diffusion
Arsenic
0.5 0.01
Arsenic trioxide
diffusion
0.01
Arsine
diffusion, ion implantation
0.16
Boron
10
Boron nitride
diffusion
N/A
Boron trioxide
diffusion
10
Boron tribromide
diffusion
10
Boron trifluoride
diffusion implantation
208
Cadmium
0.01
Cadmium oxide
0.01
Diborane
diffusion, ion implantation
0.11
Gallium
N/A
Indium
0.1
Phosphine
diffusion, ion implantation
Phosphorus
0.42 0.1
Phosphorus oxychloride
diffusion
0.63
Phosphorus trichloride
diffusion
1.1
Selenium
0.2
Tellurium
0.1
Zinc oxide
10
Zinc chloride
1
Chemical Hazards in Semiconductor Operations 203 Table 10. Confirmed and Suspected Carcinogens
Material
Target Organ
Asbestos
lung, mesothelioma, gastrointestinal tract
Arsenic and certain compounds
lung, skin, liver, lymphatic
Benzene
leukemia
Beryllium and certain compounds
lung, bone
Cadmium and certain compounds
lung, prostate
Carbon tetrachloride
liver
Chloroform
kidney, liver
Chromium certain
lung, nasal cavity, larynx
Epichlorohydrin
lung
Formaldehyde
gastrointestinal tract, skin, kidney prostate
Methylene chloride
liver, kidney
Nickel and certain compounds
nasal cavity, larynx, lung
Perchloroethylene
phyrnx, esophagus, colon, liver, pancreas
Trichloroethylene
liver
1,1,2-Trichloroethane
liver
204 Semiconductor Safety Handbook
4 Industrial Hygiene David G. Baldwin and Michael E. Williams
1.0
INTRODUCTION
As the authors began to put together the material available on industrial hygiene in the semiconductor industry for this publication, it quickly became apparent that this very broad subject can not be adequately covered in one chapter. For this reason, a book on the subject was recently published that provides both a broader coverage of the subject and more in-depth details: Williams, M. E., and Baldwin, D. G., Semiconductor Industrial Hygiene Handbook; Noyes Publications, Park Ridge, New Jersey (1995). This chapter focuses primarily on the recognition, evaluation and control of chemical health hazards during semiconductor manufacturing. The subject of radiation is covered in Ch. 6 of this book.
2.0 INDUSTRIAL HYGIENE MONITORING 2.1
Overview of IH Monitoring
Industrial hygiene (IH) monitoring in the semiconductor industry is complicated by the fact that semiconductor operators and technicians rarely 204
Industrial Hygiene 205 stay at one work station for the entire day. Wafer movement requires employees to work in “flow-lines” that requires them to move physically from one work station to another. Even when they are stationary for the whole shift, unless a personal sample is taken in their “breathing zone,” there can be significant concentration differences between the sample location and what the person is breathing. When to Monitor. Normally there are four types of non-emergency events which initiate the need to perform monitoring: • Routine monitoring • Odors or other “transient” smells • New processes or changes in processes • Complaints (e.g., odors, symptoms without odors, general concern after reading article or media story, etc.) The complex chemistries and large quantities of hazardous materials that are integral to semiconductor manufacturing increase the level of chemical concerns among cleanroom employees. Quick response to the concerns is often critical to easing them and can result in rapid action to resolve a hazardous exposure. Also, they help to minimize costly production downtime caused by evacuations. Before a chemical arrives at the delivery dock, the site needs to know that the material is coming to ensure basic controls are in place to safely use the chemical and to determine if there will be a need to monitor employee exposure. Most semiconductor manufacturers do this as part of a health and safety review and approval procedure for a new process coming on-site. Besides identifying unacceptable exposures, evaluating the process before it goes into production minimizes the need for costly retrofits after the equipment is integrated into the manufacturing process. SEMI, a semiconductor equipment industry association, provides guidance for this in their publication “Safety Guideline for Third Party Environmental, Health, and Safety Equipment Evaluation.”[1] For this initial evaluation, ideally the chemical purchaser should have a Material Safety Data Sheet (MSDS) on the chemical before the purchase order is processed. Some companies only require the name of the chemical before it arrives, and require that the MSDS accompany the shipment and be installed near the point-of-use before the chemical is delivered to the fab area. Even when the MSDS is required before the shipment arrives, sometimes additional information is necessary to determine if controls are
206 Semiconductor Safety Handbook adequate and/or to determine if air sampling should be performed. The first step is to consult established references such as Patty’s Industrial Hygiene and Toxicology[2] and the American Conference of Governmental Industrial Hygienist’s (ACGIH) Documentation of the Threshold Limit Values and Biological Exposure Limits.[3] When information from the MSDS and the standard references is lacking, a computer search of the published literature is needed. These searches can be performed directly through organizations that maintain individual databases such as those provided through the National Library of Medicine Toxicology Information Program Online Services, (301) 496-1131, or indirectly through commercial information services such as Dialog Information Services, (800) 334-2564. The main databases for toxicology information include TOXLINE, EMBASE, MEDLINE, and CA SEARCH. Searches of these databases can be done on-line or, in most cases, by purchasing CD ROMs containing the information that is often updated on a quarterly basis. The CD-ROM option is becoming more popular due to decreasing costs, and this medium allows the manipulation of the information in electronic form. To ensure complete and up-to-date information, the best approach is to use an on-line commercial service. Most mid-sized and larger semiconductor companies will already have an account with a commercial service through the company’s information center. Alternatively, with a personal computer, modem, and a four to eight hour introductory course, the end user can perform the searches directly. If the MSDS, reference books, and results of the literature search still leave major uncertainties in the information necessary for the initial evaluation, the research department for the chemical manufacturer can sometimes help fill these gaps or provide the names of other manufactures who are using the chemical. As with all routine occupational industrial hygiene monitoring for assessing semiconductor processes, it is necessary to have a list of the chemicals and radiation sources used in the processes and to know the appropriate monitoring methods. If an appropriate method is not available, you will need to work closely with the analytical laboratory that will be performing the sample analysis. This can be a time-consuming process and caution should be used in balancing the severity of the potential hazard versus the cost of method development and analytical testing.
Industrial Hygiene 207 In addition to the parent chemistries, semiconductor processes may involve reaction products that can create significant exposures. The classic example is the use of arsine gas in an implanter which can result in possible arsenic exposure for maintenance workers. Many of the references cited in this chapter will provide additional insight into the potential hazards that may be encountered from reaction products, physical agents, and process effluent treatment systems. Ideally, companies should have baseline industrial hygiene data on all routine operations with a potential for chemical, RF/microwave radiation, or ionizing radiation exposures. Even when the industrial hygienist believes the exposure will be well below the allowable limit for an operation, monitoring data is beneficial because: • Data provides objective documentation on exposures from the operation rather than a qualitative evaluation • During the monitoring survey, a detailed evaluation of work practices should be made, and when appropriate, modifications made • During the survey, non-routine operations which may be performed can be identified and a determination made if additional evaluations are necessary • Data provides a quantitative measure that will assist with determining if medical monitoring is appropriate • In the event of litigation, the data will aid in establishing actual exposure levels for employees involved in the operation Emergency Response Monitoring. IH monitoring done for any emergency incident requires quick preparation, execution, and decisionmaking. Within the semiconductor industry, the need for advanced preparation for emergency response monitoring is particularly crucial because of the wide variety of potential chemicals that may be involved in the incident and the large cost of keeping production down during the emergency. Preplanning for such events involves: • Determining what chemicals may result in an area or building evacuation • Determining a quick, and hopefully simple, direct reading method for taking the samples
208 Semiconductor Safety Handbook • Determining what readings will result in what actions (e.g., expanding the evacuated area, downgrading from air-supplied respirator to air-purifying respirator, and clearance for reentry without respirator) In addition to pre-planing, there is also a need to train Emergency Response Team (ERT) members for performing at least some of the air sampling needed during an emergency. For this reason it is particularly important for the sampling method and the decision logic used during the emergency to be as simple as practical. When to Re-Monitor. As a minimum, an operation should be resampled if it is moved or modified or you have other reason to suspect the exposure may have increased. Compounds for which OSHA requires initial sampling also have a provision for resampling (e.g., arsenic and lead) when the exposure exceeds the Action Level for the chemical. Because of the dynamic nature of semiconductor operations, it is not always practical to know when an operation has been modified. Changes in work practices can also cause increased exposures. Therefore, operations should be periodically resampled. The resampling frequency should be based on the likelihood of a significant exposure. Some semiconductor companies have internal guidelines for resampling frequencies.[4] When Monitoring is Not a Priority. If the exposure is going to be reduced regardless of any air sample results (e.g., odor complaints, prudent avoidance, or unacceptable exposure), consideration should be given to the value of performing the IH sampling. The rule of thumb is: if the data does not help to protect the employee or the company, do not sample. Examples: • When responding to an odor complaint from a photoresist spinner operation—find and mitigate the cause of the odor, rather than expend the time and resources needed to perform sampling • If the operators are cleaning work surfaces with methanol— consider substituting water, ethanol or isopropanol, or mixtures of these materials • If a maintenance technician is sticking his head inside an open-top vapor degreaser to clean it—modify the operation to eliminate the exposure
Industrial Hygiene 209 2.2 Assessment Tools It is not always practical to have baseline industrial hygiene data on all routine operations. In this case, decisions must be made on where to spend the available time and money. The first step in the decision process is to conduct an exposure assessment of all operations involving potential chemical or radiation exposure. The exposure assessment should include: reviewing operating procedures and maintenance records; observing the operation; and discussing it with the operator, equipment technician, process engineer and the supervisor. Results of the exposure assessment should be documented, particularly when the decision is made not to monitor. Assume this decision may become the focus of downline litigation and document carefully. All chemicals and radiation sources need to be identified during the exposure assessment. Some semiconductor manufacturing operations involve reaction products that are formed by the process (e.g., plasma systems and ion implanters). When the composition of the reaction products is uncertain, bulk samples are taken and analyzed for specific compounds identified in previous surveys and then for unknowns. Data from the bulk samples are used to determine if subsequent personal breathing zone samples are needed. Exposure Assessment. One methodology that has been proposed by the Organizational Resource Counselors (ORC) for performing generic workplace exposure assessments is explained in the form of two hypothetical exposure assessments.[5] The first example involves evaluating a homogeneous exposure group (HEG) for acid etch operators. The second hypothetical example is an exposure assessment involving wave solder maintenance. Both examples start with a qualitative assessment. Based on this, the determination is made as to whether or not a quantitative assessment (i.e., IH monitoring) is needed. While this approach relies on professional judgment that may not always be the strongest defense in the event of litigation, it does optimize time and money expenditures that best protect the employee.
210 Semiconductor Safety Handbook
Hypothetical Qualitative Assessment—Acid Etch Operators Name of Homogeneous Exposure Group (HEG) or Operation: Acid Etch Operators Location: Bldg. 5 upper (near columns D53 and D55). Hazardous Chemicals Involved: Mixed Acid Etch (Nitric Acid, 55%; Acetic Acid, 20%; Hydrofluoric Acid, 10%; Water, 15%) Located in Bay 5. Stress Relief Etch (Nitric Acid, 75%; Acetic Acid, 12%; Hydrofluoric Acid, 7%; Water, 6%) Located in Bay 5. Quantities of Materials Used: Ten (10) liter etch baths (usually filled about 75% full); four baths total (two of each etch solution). Description of Operation: Wafer fab operators dip wafers in etch baths and allow wafers to remain in the baths for predetermined time (2 to 10 minutes); at the end of the time the wafers are removed and rinsed in DI water baths and removed; operators top-off baths at the end of day and make up new baths at the start of the week. Number of Persons: Day shift only; six fab operators (four use stations more than other two). Potential Route(s) of Exposure: Inhalation Ingestion Skin Contact [X] [ ] [X] Frequency and Variability of Exposure: Great variability in usage depending on wafer fab operator and work load; average about six runs per day per bath; maximum usage about 12 runs per bath per day. Also, top off acid baths at end of shift and usually makeup baths at start of week by aspirating old bath and hand pouring new ones. Types and Variability of Tasks: Other operations performed by wafer fab operators include set up of wafers, use of drying ovens, occasional microscope work (inspection), and logging data on terminal. Aside for etching, the wafer fab operators do not work with other chemicals. On the average, etch operations occupy roughly one-third of their shift.
Industrial Hygiene 211
Hypothetical Qualitative Assessment - Acid Etch Operators (Continued) Controls in Use: Wet decks are provided with exhausted enclosures with average face velocities of 110–160 linear feet per minute. Each wet deck exhaust has a magnehelic with a set-point. The safety committee formally checks the magnehelic once a month and operators say they normally check it every morning. The wet decks are rinsed down at the end of the shift. Opening and pouring of the acid bottles are done only in the exhausted enclosure; the operators wear aprons, arm guards, face shields, safety glasses, and gloves (latex acid gloves). PPE is not shared between fab operators; gloves are changed at least once per shift. The standard operating procedure for both etch operations contains the safety precautions for the processes. Operators were trained on standard operating procedures and received chemical safety training. Employee Comments: Talked to four of the six wafer fab operators— Paula Garcia, Joe Ng, Sam Smith, Jane Wong (Karen Reynolds was in training and Pat Nelson was on vacation). None of the wafer fab operators had safety concerns related to the etch baths. None of the operators reported smelling or sensing acids during any part of the process. The supervisor, Pam Prescot, and the technician, John Kim, said they also were not aware of any problems with the etch process. John Kim (who had been in the department the longest, since 1987) said there had been only one spill in the area since he started, it was in 1992; a one gallon bottle of Mixed Acid Etch tipped over on the deck and about a half a bottle spilled on the floor; no one was splashed; the area was evacuated and the ERT called; no abnormalities in cleanup were mentioned. General Observations: Good housekeeping in the area, secondary containment and chemical storage cabinets do not show signs of old leaks or spills. Zeroed magnehelics; both were OK. Observed the manual top-off of baths; it was done according to the SOP; no odors were observed.
212 Semiconductor Safety Handbook
Hypothetical Qualitative Assessment - Acid Etch Operators (Continued) Past Exposure Monitoring Data or Health Surveillance Data: None available. Qualitative Estimation of Exposure Level: Peak exposures during normal operations are less than the odor threshold for these mixtures (0.3 ppm as nitric acid and about 0.08 ppm as acetic acid). Quantitative Assessment Needed? No Additional controls needed? None needed Re-evaluation Frequency: Once every three years—unless there is a change in the operation the wafer fab etch operator HEG will be reevaluated in Q4 1997. Other Comments: Pam Prescot said there were very tentative plans to partially automate the operation next year. She will call if the decision is made to make the changes. Industrial Hygienist:
[Signature]: Knowledgeable EHS Person: Not Applicable Date:
Industrial Hygiene 213
Hypothetical Qualitative Assessment—Wave Solder Maintenance Operation Name of HEG or Operation: Wave Solder Maintenance Operation. Location: Electrovert Ultra, 3 lower (near column G13); Takashimatta, 2 upper (near column B3); Kennapor, 2 upper (near column C9). Hazardous Chemicals Involved: Kester tin/lead (63%/37%) solder, solder dross, and resin flux (mixture of alcohols and terpene based resins). Quantities of Materials Used: About five kg of solder is used in each machine; about 100 gm of solder/solder dross remains on the solder pump that is removed and cleaned during maintenance. Virgin flux is dispensed from five gallon containers. Waste flux is drained from the flux reservoir into five gallon chemical waste containers. Description of Operation: The maintenance technicians remove and clean the solder pumps in accordance with the Standard Operating Procedure for the operation. The pumps are cleaned on a work bench in the tech area by scraping with metal spatula and brushing with a wire brush. Number of Persons: Two technicians perform the operation. Potential Route(s) of Exposure: Inhalation Ingestion Skin Contact [X] [X] [X] Frequency and Variability of Exposure: Each machine is serviced once a month on different days. The Ultra is used more than the other two and has a greater buildup of the Sn/Pb residues, and therefore, would represent the greatest exposure. The actual cleaning of the pump (scraping and brushing) takes about one hour. Types and Variability of Tasks: No other operations are performed by the technicians that involve potential tin or lead exposure.
214 Semiconductor Safety Handbook
Hypothetical Qualitative Assessment—Wave Solder Maintenance Operation (Continued) Controls in Use: During the cleaning of the solder pump, the technician wears chemical gloves (latex acid gloves), and safety glasses. After the pump is cleaned, the top of the workbench is cleaned with a cleaning solution (409 Cleaner). Gloves are disposed of at the end of the operation. The standard operating procedure for the wave solder maintenance contains the safety precautions for the process. The technicians have received lead safety training and participate in annual health surveillance for their lead exposure. The technicians routinely wash their hands before leaving the work area. Employee Comments: Talked to both technicians—Mike West and Jamie Martinez. Neither had concerns regarding the operation. They said their health surveillance data (blood lead monitoring) did not indicate problems. When asked about eating, drinking, and smoking in the area, they said they were not allowed to eat or smoke in the area, and drinking was only allowed at their desks. General Observations: Good housekeeping in the area, no visible indications of surface contamination. No signs of smoking or eating. Two desks had coffee cups. Scrapers and wire brushes not cleaned after use, but they are only used for the pump clean and they are kept in a small metal cabinet under the work bench that is dedicated to their storage. Past Exposure Monitoring Data or Health Surveillance Data: No exposure monitoring data available. Health surveillance data negative. Qualitative Estimation of Exposure Level: Based on the technicians’ descriptions of the operation and exposure data from other similar maintenance operations, the levels probably exceed 10% of the PEL/ TLV®. Quantitative Assessment Needed?
Yes
Industrial Hygiene 215
Hypothetical Qualitative Assessment—Wave Solder Maintenance Operation (Continued) Additional controls needed? Yes; both technicians need to enter the respirator program (training, fit testing, and health surveillance) before the next wave solder maintenance and use air purifying respirators during the operation. Pending the outcome of air sampling, local exhaust ventilation may be needed during the pump cleaning. The metal cabinet used to store scrapers and wire brushes should be labeled to warn technicians that the tools are contaminated with lead and should not be used for purposes other than wave solder maintenance. Also, a dedicated HEPA vacuum should be provided for the cleanup of dross contaminated residues in the area and on equipment surfaces. Re-evaluation Frequency: Pending outcome of air sampling. Other Comments: None Industrial Hygienist:
[Signature]: Knowledgeable EHS Person: Not Applicable Date:
Monitoring Plan. Identifying routine cleanroom operations with potentially significant exposures is normally a simpler task than identifying potentially hazardous maintenance operations. Walk-throughs of the area and discussions with the operators are usually enough to determine what operations need sampling. Even non-routine exposures to chemicals are often quickly identified through monitoring alarms for toxic gases with poor warning properties. Cleanroom personnel will usually mention new or unusual odors in their area.
216 Semiconductor Safety Handbook Maintenance operations are usually more difficult to identify, and in semiconductor manufacturing, it is the maintenance operations that have the greatest potential for high exposures.[6]-[10] Information on maintenance operations comes from talking with engineers and technicians who perform the operations and reviewing maintenance specifications. Formal surveys of the technicians can also be useful in identifying these operations. A hypothetical monitoring strategy for a wave solder maintenance operation is presented to highlight the pertinent topics that need to be covered. The actual establishment of the monitoring plan involves the gathering of numerous pertinent pieces of data that will guide the industrial hygienist. Some of the elements that need to be identified are: • The jobs or tasks to be sampled • The specific chemical or biological agents to be evaluated • The number of samples to be taken • The frequency of monitoring • The nature of the sample to be taken (i.e., personal vs. fullshift TWA vs. STEL, etc.) • The specific instruments to be used • The method of calibration of the instrument or sampling train • Assuring “blanks” of the sampling media and sample containers are submitted with the samples • Pertinent ambient conditions are noted (i.e., temperature, atmospheric pressure, relative humidity, air flow patterns, etc.) • The types, models, and conditions of personal protective equipment in use • The routes of exposure to the employees for the agents being monitored • The characterization of the engineering controls that are relevant to the exposure to be monitored (i.e., local exhaust hoods, enclosures, glove boxes, lab hoods, HEPA vacuums, etc.) • Photographs and diagrams of the work area and sampling locations. Once the monitoring has been performed, samples must be handled carefully, submitted to a qualified analytical laboratory, and results calculated once the values are reported from the lab. In some cases, the use of biological monitoring data may be useful as another data-point in the determination of the hazard of an operation or task.
Industrial Hygiene 217 Hypothetical Monitoring Strategy—Wave Solder Maintenance Example Jobs, Tasks and/or Areas to be Sampled: Wave Solder Maintenance. Will sample pump maintenance on the Ultra in 3 lower (near column G13). Chemical or Biological Agents: While the solder contains both tin and lead (ratio: 63%/37%), as a percentage of the PEL/TLV®, lead will be the limiting factor. This sampling will focus on the lead exposures only because of its toxicity and lower PEL/TLV®. Subsequent sampling may also include monitoring for tin and alcohol-based flux. Number of Samples, Frequency of Monitoring, and Nature of Samples (e.g., personal vs. area, full-shift TWA vs. STEL, etc.): Personal air samples will be taken for both technicians. Two cassettes will be taken for each tech; one while the pump is being cleaned on the work bench, and another during other operations where the exposures are not expected to be significant (e.g., pump removal and re-installation). An area air sample will be taken at the work area adjacent to the work bench during the cleaning of the pump to document potential worst-case exposure to persons not involved in the maintenance. Wipe samples will be taken: on the work bench before pump maintenance (2 wipes) and post-clean after pump maintenance (5 wipes); on the wave solder machine at one or two locations before it is opened for maintenance (2-4 wipes) and after maintenance is complete (2-4 wipes); on the desk closest to the work bench (3 wipes); on the fingers of the technicians after removing gloves and washing hands (2-4 wipes). Additional Notes: On the day of the sampling, IH will talk with the maintenance supervisor; if he wants, IH will take two additional wipe samples in the metal cabinet used to store the tools. On the day of sampling, IH will also evaluate the potential for tracking solder from either the wave solder area or the maintenance area to the hallways (additional wipe samples may be needed). Industrial Hygienist: [Signature] Date:
218 Semiconductor Safety Handbook 2.3
Biological Monitoring
Routine biological monitoring (e.g., arsenic levels in urine) is used by some companies to supplement air sampling results. The greatest advantage of biological monitoring is that the biological parameters of exposures takes into consideration absorption by routes others than inhalation. However, a variety of conditions and practical considerations limit the effectiveness of biological monitoring.[11] Within the semiconductor industry, the two most common chemical exposures where biological monitoring is applied are arsenic and lead. The use of urinary arsenic monitoring and blood lead levels are biomonitoring techniques that can be used as a supplement to air sampling for evaluating routine exposures. They are useful for determining if ingestion of arsenic from improper personal hygiene is occurring. They may also be used in identifying improper respirator usage, and for providing information on unanticipated exposure. Biological monitoring is also important for estimating the extent of exposure during an accidental exposures such as an arsenic release. Often, it is the best method for establishing exposure levels in these cases. Based on data from extensive past biological monitoring within the industry, urinary arsenic testing for silicon-based processing is of limited value. Its primary utility continues to be associated with gallium arsenidebased processing, particularly in maintenance activities. Within the semiconductor industry, blood lead levels are associated mostly with monitoring of exposures during maintenance of wave solder machines. This may change in the future if evaluations are performed against the US Center for Disease Control (CDC) recommended limits for neonatal blood lead levels, 10 µg/dL. At these low levels, the contribution of occupational airborne lead exposures are expected to be secondary to other sources of the mother’s total dose.[12] This would make biological monitoring more important than air sampling as a method for characterizing lead exposures. Biological monitoring for solvents is not a routine practice in the semiconductor industry. For most production operations, gloves are used only for accidental splash protection decreasing the need for biological monitoring as a check on the effectiveness of the PPE. Occasionally, however, there are maintenance operations that require direct glove contact with solvents that can be absorbed through the intact skin. In one study, biological monitoring results for a maintenance technician indicated skin absorption of 2-ethoxyethanol was the predominant route of exposure.[13]
Industrial Hygiene 219 2.4
Airborne Contamination by Process
Most chemical exposures during routine semiconductor manufacturing operations are far below accepted exposure standards.[6]-[10] Exposures presented in Table 1 are only for published data on semiconductor manufacturing operations that have shown significant airborne concentrations during atypical operations or maintenance activities. This information is intended to aid industrial hygienists and safety engineers in recognizing potential exposures that may require particular attention. The exposure levels listed in Table 1 are the maximum values cited in the references that potentially relate to an employee exposure. They often represent less than an eight-hour exposure. Most of the exposures are the result of improper work practices and are not levels typically found in the semiconductor industry.
Table 1. Semiconductor Manufacturing Operations with Potentially Significant Chemical Exposures Process
Activity/Equipment
Substance
Maximum Exposure *
[Ref]
GaAs & InP Wafer
Weighing Arsenic
Arsenic
Not Listed
[7]
Manufacturing
Loading Furnace
Arsenic
14 µg/m3
[14]
Cleaning Crystal Growers
Arsenic
2700 µg/m3
Bead-Blasting GaAs Ingots
Arsenic
1500 µg/m3
[14]
Grinding/Sawing Ingots
Arsenic
7.2 µg/m3
[14]
Sawing GaAs Ingots
Arsine
92 ppb
[18]
Sawing InP Ingots
Phosphine 176 ppb
[18]
Cleaning Work Surfaces
Arsenic
Not Listed
[7]
Washing Silicon Wafers
Methanol
931 ppm
[6]
Stripping Carrier Pads
Methylene 522 ppm Chloride
[6]
Wafer Preparation
[15]-[17]
(Continued next page)
220 Semiconductor Safety Handbook Table 1. (Cont'd)
Process
Activity/Equipment
Substance
Photolithography
Resist Filter Maintenance
EGEEA
6.3 ppm
[14]
Etching
Polysilicon Batch Etching
Fluorides
Not Listed
[7]
Plasma Al Etcher Maint.
Hexachlor- Not Listed oethane
[19]
Plasma Al Etcher Maint.
Cyanogen 3.3 ppm Chloride
[20]
Plasma Al Etcher Maint.
Hydrogen Chloride
68 ppm
[14]
Diffusion and Deposition
Furnace Maintenance
Arsenic
85 µg/m3
[6]
Ion Implantation
Source Housing Maint.
Arsenic
3200 µg/m3
Annual PM
Arsine
2200 ppb
[23]
Quarterly PM
Arsine
>2000 ppb
[23]
Manipulator Maint.
Phosphine 1725 ppb
[24]
Cryo-Pump Maint.
Arsine/ 0.06 ppm Phosphine
[14]
HEPA Vacuum Maint.
Arsenic
Epi Prescrubber Maint.
Hydrogen Chloride
>5 ppm
[7]
GaP CVD Prescubber Maint.
Phosphine
>0.2 ppm
[7]
GaAs CVD Prescubber . Maint
Arsine
>0.05 ppm
[7]
GaAs MBE Reaction . Chamber Maint
Arsine
0.08 ppm
[18,25]
Chemical Vapor Deposition
Maximum Exposure *
>1000 µg/m3
[Ref]
[21][22]
[14]
(Continued next page)
Industrial Hygiene 221 Table 1. (Cont'd)
Process
Metallization
Assembly and Test
Activity/Equipment
Substance
Maximum Exposure*
[Ref]
Evaporator Bell Jar Maint.
Silver
>100 µg/m3
[7]
Evaporator Bell Jar Maint.
Methyl Alcohol
>250 ppm
[7]
Wave Solder Maint.
Lead
>50 µg/m3
[14]
Solder Pot Maint.
Lead
>50 µg/m3
[7]
Degreaser Maint.
Degreasing Solvents Monoethanolamine
Not Listed
[7]
>3.0 ppm
[26]
Marking Test
*Exposures listed are the maximum levels reported in the references cited that could represent potential employee exposures. Typically, they are for less than an eight-hour exposure and do not take into consideration possible respirator usage. Also, many of the exposures are the result of improper maintenance techniques or procedures.
Wafer Manufacturing and Preparation. Gallium arsenide wafer manufacturing represents the semiconductor manufacturing process with the greatest potential for significant, routine chemical exposures. While gallium arsenide wafer manufacturing is done only by a small percentage of semiconductor manufacturers, particular emphasis is needed in this area. The large amounts of arsenic used in the process, the numerous steps in the process, and the low airborne exposure limit for arsenic make it difficult to control exposures. Articles by Harrison,[27] Lenihan, et al.,[15] McIntyre and Sherin,[28] and Sheehy and Jones[29] cover hazards and controls for this process. Arsine and phosphine may be formed from the reaction of gallium arsenide and indium phosphide with moisture in the air, other arsenides and phosphides, or when mixed with acids during the processing of gallium arsenide and indium phosphide.[18][24] In these same papers, the authors also reported 92 ppb arsine and 176 ppb phosphine two inches away from the slicing blades used to cut gallium arsenide and indium phosphide ingots.
222 Semiconductor Safety Handbook In silicon wafer manufacturing, solvent exposure during silicon wafer preparation can be hazardous if local exhaust ventilation (LEV) is not used. Methanol exposures of up to 931 ppm were reported during the washing of silicon wafers without adequate LEV. Methylene chloride exposures of up to 522 ppm were reported when no local exhaust was used during the stripping of carrier pads from their metal carrier plates.[6] Lithography. Lithography—also referred to as photolithography, microlithography, photomasking, and masking—is a crucial step in the manufacturing process. Through a photography-like process, precise patterns are formed on the wafer. The key to forming these patterns is transferring the image from a mask to a radiation-sensitive chemical mixture called a resist. Resists are comprised of several components. The classic composition of negative photoresist is an azide sensitized rubber such as a polyisoprene polymer base with a bis-aryldiazide sensitizer, and a carrier solvent that makes the resist a liquid, allowing it to be spun on the wafer as a thin layer (sometimes additives are included such as dyes to control the light rays). A typical positive photoresist would be a phenol-formaldahyde Novolak resin structure and a diazonaphthoquinone sensitizer in a carrier solvent. Exposure systems for most resists use ultraviolet (UV) light from mercury vapor arc lamps. The resists are designed to react either to a broad spectrum of UV wavelengths, or they are formulated to react preferentially to one or more of the main spectrum lines emitted from the lamp (e.g., g-line at 435 nm, h-line at 405 nm, and i-line at 365 nm). The use of resists that utilize lower UV wavelengths is a growing trend in the semiconductor industry due to the requirements for smaller linewidth geometries. The practical resolution limit for conventional lithography is slightly greater than the wavelength of light used.[31] When wavelengths less than 365 nanometers (nm) are needed, exposure sources other than mercury vapor arc lamps are used. By increasing resolution, these are: • Deep UV systems (e.g., excimer laser sources at 248 nm wavelength) • Electron beam systems • X-ray sources (typically 0.4 to 5.0 nm wavelength) Table 2 is a compilation of information regarding some commercially available resist systems.
Table 2. Typical Resist Systems Used in Lithography [32] Company Name: Resist Designation
Intended for exposure by: g
h
i
Gensis GS-7010
P
*
*
*
*
0.25
15-35
EL
—
Hoechst Celanese Corp. AZ1500 Series AZ1600 Series AZ6200B Series AZ7500 Series AZ7500 T Series AZ DX Series AZ P4000 AZ PF 500
P P P P P P P P
* *
* * *
* * *
0.7 0.5 0.45 <0.35 0.75 0.225 0.8 <0.20
26-30 26-30 26-30 26-30 32-38 20 25-47 28
PGMEA PGMEA PGMEA PGMEA PGMEA PGMEA PGMEA PGMEA
47 47 47 47 47 47 47 47
IBM, Tech. Prod. Div. APEX
P
*
0.225
15-25
PGMEA
47
Morton Electronic Materials EL2015 EL2025/26/26TE EPA914 EZ NOVA 2050 AR-2 NOVA 2070 NOVA 2071 OFPR-800 OFPR-800PG OMR-83 PR1024 MB
P P P P P P P P N P
*
0.5 0.5 0.6 0.6 0.35 0.35 1.0 1.0 2.5 0.35
24-30 36-50 21-40 18-42 24-40 24-40 18-37 24-32 11-14 12-19
EL EL PGMEA PGMEA EL EL EEA PGMEA Xylene EEA
52 52 42 42 52 52 51 42 28 51
*
*
EB XRAY
* *
*
*
*
*
* * * * * * *
* * * * * *
* * * * * *
* * * *
*
*
Industrial Hygiene 223
B
*
DUV
Resolution Solids Content Flash Point Limit (µm) (Weight %) Solvent Type (C.C. oC)
Type
Company Name: Resist Designation
Intended for exposure by: Type
OCG Microelectronic Materials Inc. CAMP-6 Series HiPR 6500 Series HPR 500 Series OCG 825 Series OCG 895 Series OCG 897 Series OiR 32 Series
P P P P P P P
Shipley Company Inc. Megaposit S1400 Megaposit S1800 Megaposit S3800 Microposit SAL601 Megaposit SPR2 Megaposit SPR500-A Megaposit SPRT500-A System 8 Megaposit XP-89131 Microposit SAL603 Microposit SAL605 Megaposit XP-90236
P P P N P P P P N N N N
B
g
h
i
* * *
* * *
*
*
* * * * *
*
*
DUV
EB XRAY
*
* * *
*
*
* * * *
* *
Resolution Solids Content Flash Point Limit (µm) (Weight %) Solvent Type (C.C. oC)
0.25 0.4 0.8 0.6 0.4 0.35 0.32
14-17 23-32 21-37 29-40 18-40 various various
EEP EL/EEP EL EEP PGMEA PGMEA/EEP MMP
— — — — — — —
0.6 0.6 0.45 <0.1 0.4 0.35 0.35 0.5 0.225 <0.1 <0.1 0.25
17-34 18-33 21-27 10-29 22-29 16-32 16-32 10-31 16-22 10-29 10-29 18-22
EEA PGMEA EL EEA EL EL EL EL PGMEA/Anisol PGMEA PGMEA PGMEA/Anisol
41 46 39 41 39 40.5 40.5 41.1 43.3 41 41 43.3
224 Semiconductor Safety Handbook
Table 2. (Cont'd)
Table 2. (Cont'd)
Company Name: Resist Designation Toray Industries, Inc. Photomeece Series EBR-9
Type
B
g
N P
*
*
P P P
* *
h
i
EB XRAY
*
*
P P P P P
DUV
Resolution Solids Content Flash Point Limit (µm) (Weight %) Solvent Type (C.C. oC)
2 0.2
16-18 6.1-6.2
NMP MEA
0.58 0.42 0.40
— — —
EL EL
0.34
—
EL
— — — —
EL/MMP MMP MMP MMP
46 46 46 46
* * * * *
0.36 0.32 0.34 0.36
60 29.5 46 46 MMP/EL
Industrial Hygiene 225
UCB-JSR Electronics, Inc. PFR GX210ED2 PFR GX250EL PFR IX405EM 46 PFR IX500EL 46 PFR IX560FDM2 PFR IX700 PFR IX710D75 PFR IX715DM7
Intended for exposure by:
Symbols P = N = B = g = h = i = DUV = EB = XRAY = C.C. =
positive resist negative resist broadband UV exposure source g-line UV exposure source (435 nm) h-line UV exposure source (405 nm) i-line UV exposure source (365 nm) deep UV exposure source (248 nm) electron beam exposure source x-ray exposure source (0.4–5.0 nm) closed cup flash point test
Solvent Abbreviations EEA EEP EL MEA MMP NMP PGMEA
= = = = = = =
2-ethoxyethyl acetate (EGEEA) ! ethyl ethoxy propionate ethyl lactate 2-methoxyethyl acetate (EGMEA) ! methyl methoxy propionate n-methyl-2-pyrrolidone propylene glycol monomethylether acetate
! Solvents recommended for elimination by the Semiconductor Industry Association because of potential adverse reproductive health effects.
226 Semiconductor Safety Handbook
Table 2. (Cont'd)
Industrial Hygiene 227 In terms of routine chemical exposures, photolithography is the semiconductor manufacturing process that generates the greatest concerns. From an IH perspective the main focus is on the carrier solvent, because vapor pressures for the base materials, sensitizers, and additives used in the resists are very low. Concerns in lithography are primarily caused by potential reproductive hazards associated with selected positive resists (e.g., ethylene glycol monoethyl ether acetate as a carrier) that are currently being phased out by the industry. Occasional odors from the negative resists (e.g., xylene) also result in employee concerns. Because of these concerns, a great deal of time is spent sampling photoresist operations by semiconductor industry industrial hygienists. While this is useful in characterizing these operations, routine exposures during spinner and developer operations are typically less than five percent of the ACGIH Threshold Limit Values (TLVs®) and the U.S. OSHA Permissible Exposure Limits (PELs) for the solvents used in the process.[12] A one-hour exposure to ethylene glycol monoethyl ether acetate (EGEEA) of 6.3 ppm was found during the of a spinner dispense system. This exposure was primarily caused by poor work practices during the maintenance operation.[14] Etching. Etching is a part of the overall process of lithography. During this step, the pattern formed on the wafer by the resist is permanently etched into the wafer by the use of wet chemicals or dry chemical techniques (e.g., plasma or reactive ion etching). After the pattern is etched into the resist, resist is removed (stripped) from the wafer. This is also done by using wet chemical or dry chemical (e.g., plasma ashing) procedures. Wet etching is the traditional method of etching. In the process, wafers are dipped into acid solutions or, less commonly, acids are sprayed onto the wafers. The etchants remove the surface of the wafer that is not protected by the hardened photoresist. Table 3 outlines typical chemistries associated with wet etching.
228 Semiconductor Safety Handbook Table 3. Typical Wet Etch Systems for Silicon Wafers
Etched Material
Common Etchant (and ratios)
Etch Temp.
Silicon (base wafer or polysilicon film)
Nitric Acid ---------------------- 50 Water ---------------------------- 20 Hydrofluoric Acid -------------- 3
Room
Silicon Dioxide (oxide layer)
Hydrofluoric Acid -------------- 1 Ammonium Fluoride ----------- 8
Room
Silicon Dioxide (passivation layer)
Acetic Acid ---------------------- 2 Ammonium Fluoride ----------- 1
Room
Silicon Nitride* (passivation layer)
Phosphoric Acid
170–190°C
Aluminum
Phosphoric Acid --------------- 16 Nitric Acid ----------------------- 1 Acetic Acid ---------------------- 1 Water ----------------------------- 2 Wetting Agent
40–50°C
* Wet etching of silicon nitride is particularly difficult resulting in limited use of this process.
Etch baths are kept in three-sided exhausted enclosures—wet benches. Typical exposures to airborne etchants at the wet benches is far below allowable limits for the respective chemicals. If a release into the fab area does occur, the odor and irritative effects of the chemicals quickly warn of the incident. The main concern with wet etching is the possibility of skin contact with the acids. While all the acids used in etching can cause acid burns, exposure to hydrofluoric acid (HF) is of particular concern. The lag time between skin contact and pain (up to 24 hours for solutions less than 20% HF and one to eight hours for 20–50% solutions) can result in delayed treatment and more severe burns than expected.[33]
Industrial Hygiene 229 Historically acid burns have been a particular problem within the industry. However, the incidence of skin contact with acids been reduced in recent years.[34] Some of this reduction was caused by product-related improvements in the etch process such as the shift to dry etching and the use of more robotics. The reduction in the rate of acid burns may also be attributed to better handling techniques, greater use of personal protective equipment, better designed wet decks, and better training—all of which require continued attention if the rate is to decline further. The requirement for smaller lines and spaces in the wafer pattern has led to a need for straighter side walls in the etched pattern (anisotropic etching). This is more easily accomplished with dry etching than wet. A wide variety of different chemistries are used in dry etching depending on the material being etched and the type of dry etcher being used (e.g., plasma etching, reactive etching, and physical etching). The majority of these chemistries are chlorine and/or fluorine based. Because dry etchers operate as closed systems, chemical exposure to the operators of the equipment typically do not occur while the system is closed. One rare exception to this is when the purge cycle for older batch etchers is not long enough to adequately remove the etchant gases. Brief but irritating exposures to fluorine compounds that are below the detection limit for typical IH monitoring procedures have been reported when the door to these etchers is opened. Normally this can be corrected by simply increasing the length of the purge cycle prior to opening the etch chamber door. The major IH emphasis for dry etchers has been on potential exposures to maintenance personnel working on the reaction chambers, pumps, and other associated equipment that may contain reaction product residues. The complexity of plasma metal etchers and the difficulty in characterizing the odors associated with their maintenance has made them the subject of many investigations and a few published papers.[19][20][35][36] The reaction products formed in plasma metal etchers are a complex mixture of chlorinated and fluorinated compounds. The maintenance of metal etchers often involves short duration operations that generate strong odors. Hexachloroethane was found to be the major cause of odor in one type of aluminum etcher.[19] In another, cyanogen chloride was the main problem: exposure levels were 11 times the 0.3 ppm TLV®.[20] In still other types of etchers, hydrogen chloride is associated with the odor (maximum exposure measured was 68 ppm; odor threshold for HCl is less than 5 ppm). The paper by Mueller and Kunesh is a good source of additional information on the subject.[36]
230 Semiconductor Safety Handbook The complexity of the chemistries present in metal etcher exhausts has led researchers to develop experimental methods for investigating the toxicity of these mixtures.[37] Application of these methods in inhalation studies where rats were exposed to etcher effluent six hours per day, five days per week, for four weeks, showed no significant indications of organ toxicity or behavioral effect. There were, however, statistically significant increases in chromosomal aberrations and sister chromatid exchanges in bone marrow cells indicating these chemical mixtures are suspected mutagens.[38] Doping. Doping involves introducing elements into the wafer to change the electrical characteristics of the silicon at locations determined by the patterns formed during lithography. Depending on the element introduced, either N-type or P-type junctions are formed in the wafer. The parent compounds of the N-type dopants are generally more toxic than their P-type counterparts. Table 4 outlines typical chemistries associated with doping.
Table 4. Characteristic Chemistries Used in Doping Silicon Wafers
Element (Type)
Typical Parent Chemicals
State
Antimony (N)
antimony trioxide
solid
Arsenic (N)
arsine
gas
arsenic trioxide
solid
phosphine
gas
phosphorous oxychloride
liquid
phosphorus pentoxide
solid
boron trioxide
solid
boron tribromide
liquid
boron trichloride
gas
diborane
gas
boron nitride
solid
Phosphorous (N)
Boron (P)
Industrial Hygiene 231 Diffusion and ion implantation are the two processes used to introduce dopant elements into the wafer. In diffusion, the wafer is heated to high temperatures (800–1300°C) in a quartz tube with the parent chemical and the element diffuses into the wafer. With ion implantation, a newer technology, ions derived from the parent chemical are accelerated down a beam-path and precisely implanted into the wafer. Routine chemical exposures to operators of both diffusion furnaces and ion implanters are low—typically less that the detection limit of NIOSH sampling procedures. Chemical concerns with the process center on the possibility of toxic gas releases (see Ch. 11, “Toxic Gas Monitoring”) and exposures to residues during maintenance activities. In the absence of proper controls, arsenic exposures above the 0.01 mg/m3 PEL were reported during the cleaning of a deposition furnace[6] and during the cleaning of source housing chambers for solid source ion implanters.[21][22] These exposures occurred when no precautions were taken to limit the amount of dust in the air. However, when residues were kept wet during cleaning, exposures were reduced to far below the PEL.[21][22] Exposures to hydrides (probably a mixture of arsine and phosphine) as high as 60 ppb have been found during ion implanter cryo-pump maintenance.[14] Also, high concentrations of both arsine and phosphine can off-gas from contaminated implanter parts that are removed during preventative maintenance. [39] A novel approach for sampling and analysis of diborane gas, a fairly common hydride dopant, has been identified by absorbing concentrations as low as 0.01 ppm to 3.0 ppm on silica gel impregnated with potassium permanganate as an adsorbant.[40] Portable vacuum cleaners with HEPA filters are used to clean arsenic contaminated work surfaces in ion implantation areas. Exposures above 1000 µg/m3 were measured when HEPA vacuums were improperly cleaned. HEPA vacuums, when discharging to the workspace, can also efficiently distribute the distinctive, hydride-like odor associated with ion implanter beam line cleaning.[14] Chemical Vapor Deposition. Chemical vapor deposition (CVD) is a process where by the heat-induced decomposition of gases form different semiconductor layers such as silicon dioxide, silicon nitride, polysilicon, and gallium arsenide on the surface of the wafer. When the layer formed is a continuation of the crystalline structure of the substrate, the process used is epitaxial growth. Other, non-epitaxial forms of CVD involve the deposition of layers that are a different structure than the substrate. Table 5 outlines typical chemistries associated with CVD.
232 Semiconductor Safety Handbook Table 5. Typical CVD Chemistries
CVD Type Atmospheric Pressure (APCVD)
Film Epitaxy Silicon
Reactant (Carrier Gas) SiCl4 (H2) SiHCl3 (H2) SiH2Cl2 (H2) SiH4 (H2)
Poly Silicon
SiH4 (H2)
Silicon Dioxide
SiH4/O2 (N2)
Silicon Nitride
SiH4/NH3 (H2) SiH2Cl2/NH3 (N2)
Low-Pressure (LPCVD)
Epitaxy Silicon
SiH2Cl2 (H2) SiH4 (H2)
Poly Silicon
SiH4 (H2)
Silicon Dioxide
SiH2Cl2/N2O SiH4/N2O SiH4/O2
Silicon Nitride
SiH4/NH3 (N2)
Low-Pressure Plasma Enhanced
Silicon Nitride
SiH4/NH3 (N2)
Molecular Beam Epitaxy (MBE)
Gallium Arsenide
Ga/AsH3
Vapor Phase Epitaxy (VPE)
Gallium Arsenide
AsCl3/H2/Ga
Metalorganic (MOCVD)
Gallium Arsenide
(CH3)3Ga/AsH3
CVD Abbreviations: SiCl4 = silicon tetrachloride; H2 = hydrogen; SiHCl3 = trichlorosilane; SiH2Cl2 = dichlorosilane; SiH4 = silane; O2 = oxygen; N2 = nitrogen; N2O = nitrous oxide; NH3 = ammonia; AsH3 = arsine; Ga = gallium; AsCl3 = arsenic trichloride; (CH3)3Ga = trimethyl gallium.
Industrial Hygiene 233 In addition to the reactant gases listed in Table 5, hydride dopants (e.g., arsine, phosphine, diborane) may be introduced to control the type of conductivity and resistivity of the film layer. For silicon films, the dopant gases are introduced in small amounts (e.g., ppb levels) while for III-V films, the concentrations used are considerably higher (e.g., percentage concentrations). For molecular beam epitaxy (MBE), solid gallium is used for P-type doping and antimony for N-type doping. Chemical vapor deposition (CVD) units normally operate as a closed system resulting in little or no chemical exposure to the operators. However, brief hydrogen chloride exposure above 5 ppm can occur when certain CVD prescrubbers are cleaned.[14] Gallium phosphide and gallium arsenide deposition on gallium arsenide wafers require heavy concentrations of dopant gases (e.g., arsine and phosphine). Prescrubbers for these CVD reactors contain high concentrations of arsine and phosphine, and exposure far above acceptable limits can occur if these prescrubbers are indiscriminately opened.[7] High exposures to arsine can also occur during the maintenance of solid source molecular beam epitaxy (MBE) systems for gallium arsenide. Room air concentrations of 0.08 ppm were detected in one study when the chamber of the MBE unit was opened for maintenance. The authors hypothesized that transient arsine generation may be caused by a reaction of very fine particulate arsenic with water vapor with aluminum acting as a catalyst.[39] While group III-V (e.g., gallium phosphide and gallium arsenide) and group II-VI (e.g., mercury cadmium tellurium) semiconductor devices are far less common than silicon semiconductors, CVD operations for them have certain chemistries unique in semiconductor manufacturing.[41]-[43] Halide CVD processes used in the manufacture of some III-V devices utilize arsenic trichloride and phosphorus trichloride as source chemicals.[42][43] Metallorganic chemical vapor deposition (MOCVD) is now widely used in the preparation of III-V and II-VI devices. In addition to the hydride gases used as source materials in other CVD systems (e.g., arsine and phosphine), less toxic liquid alternatives (e.g., tertiary butyl arsine and tertiary butyl phosphine) are also used in MOCVD systems along with other toxics such as cadmium alkyls and mercury.[42][43] Metallization. Metallization is a deposition step that connects separate parts of the device to perform circuit functions such as surface wiring. Vacuum systems such as evaporators and sputterers are used to deposit the metal layers. Some metal layers used in metallization are:
234 Semiconductor Safety Handbook Aluminum
Tungsten
Aluminum-Silicon alloys
Thallium
Aluminum-Copper alloy
Molybdenum
Aluminum-Titanium
Gold
Titanium-Tungsten
Platinum
Titanium
Silver
Maintenance work is sometimes done on the inside surface of evaporator deposition chambers (bell jars). When the maintenance technicians have their heads inside the bell jars, significant exposures can occur. Removing the metal residues that deposit on the inside surface of bell jars may result in such exposures. For example, technician exposures far above the TLV® for silver were measured during residue removal from an evaporator used to deposit silver.[7] Cleaning bell jar residues with organic cleaning solvents can also result in high solvent exposure. Technician exposures to methanol above 250 ppm have occurred during this type of cleaning. This exposure can be eliminated by using water as the cleaning solvent instead of methanol.[7] Assembly and Test. Once fabrication is complete, each IC on the wafer is powered up and tested. Substandard ICs are marked with a drop of ink deposited by the test device. The wafer is then cut apart and separated into individual chips ready for assembly. The chips are mounted in ceramic or metal packages, or on lead frames that are encapsulated in a plastic molding compound. The plastic epoxy molding compounds used in encapsulating the IC chip are based on epoxy Novolak resins with antimony trioxide (1–5%) added as a fire retardant. Particulate exposures are of concern to molding press operators, and QC/QA technicians performing chemical analysis testing. Ceramic packages may contain beryllium as an alloying agent. When packaged, the IC is again tested in a series of computer generated tests. Samples are also pulled for reliability testing. If the samples fail the tests, failure-analysis is performed to discover the root cause of the problem. These final steps in the manufacturing process involve the application of many different operations that include: soldering, degreasing, testing with chemicals and radiation sources, and trimming and marking with lasers.
Industrial Hygiene 235 Soldering during semiconductor manufacturing normally does not result in high lead exposures. To prevent thermal damage to the integrated circuit, the solder temperature is kept below the temperature where significant molten lead fume formation can occur (430°C). However, cleaning solder equipment by scraping or brushing the lead-containing residues can result in lead exposures above 50 µg/m3.[7] Also, lead exposures of 200 µg/m3 have occurred when improper dross removal techniques are used during wavesolder operations.[44] One growing concern with solder operations is exposure to the pyrolysis products of the solder fluxes, particularly during hand soldering or touchup operations where historically local exhaust ventilation has not been commonly used (unlike wavesolder operations that for the last few decades have typically been enclosed in exhausted cabinets). The basic constituent of most solder fluxes is colophony (rosin). Pyrolytic decomposition of the rosin results in the formation of oxidation products of abietic acid and possibly dehydroabietic acid, and levopimaric acid. The general term of colophony fume is used to describe this chemical mixture. This material is irritating and has been found to be a contact allergen, possibly by sensitization through insertion into the lipid bilayer.[45]-[48] The 1993 ACGIH TLV® Booklet lists “rosin core solder thermal decomposition products as resin acids—colophony” as a “sensitizer; reduce exposure to as low as possible.” The recent British “Approved Code of Practice, Control of Respiratory Sensitisers,” also considers solder flux a respiratory sensitizer (along with spores from moldy hay, isocyanates, flour dust...).[49] Since colophony is a sensitizer, all exposures should be reduced to as low as possible regardless of air sampling results. New soldering installations particularly should include local exhaust ventilation when soldering is to be performed for extended periods of time (e.g., >2 hours). Fume from hand soldering will rise vertically on thermal currents entering the employee’s breathing zone as the person leans over the point of soldering. Control usually is normally achieved by means of effective high velocity and low volume local exhaust ventilation at the solder tip. Devices that return filtered air to the workplace may, if the filtration efficiency is inadequate, cause secondary pollution which can effect other people in the work room other than those soldering. Filtered air should not be returned to the work room unless the amount of soldering is small and the room has good general dilution ventilation.
236 Semiconductor Safety Handbook Degreasers have been used in the past to clean semiconductors before they are marked with identification codes. Solvent exposure above the applicable TLV® can easily occur if an operator’s head is placed below the cooling coils that cause the vapors to recondense, as can happen when an operator attempts to retrieve dropped parts or when a technician cleans residue from the bottom of the unit.[7] The use of degreasers has been greatly reduced in the semiconductor industry due to restrictions on the use of ozone depleting substances such as chlorofluorocarbons and chlorinated solvents. QA and Reliability Labs typically perform various operations that present the potential for employee exposure. Some examples are: • Marking tests—utilizing various solvent and corrosive mixtures in heated beakers on hot plates. The provisions for local exhaust ventilation (LEV) in the form of a metal hood with adequate face velocities are needed to control fugitive emissions. Monoethanolamine solutions can results in exposures in excess of the PEL/TLV®[26] • Bubble/leak testing—utilizing high molecular weight fluorocarbons (tradename Fluorinerts®) • X-ray Packaging Units (see Ch. 6, “Radiation Safety”) • Fine Leak Detection—using krypton85 radioactive gas—a beta and gamma emitter (See Ch. 6, “Radiation Safety”) Finally, laser package marking which have a Class 4 carbon dioxide laser [Class 1 with the equipment enclosure in place and the door(s) closed] are used to physically mark the exterior of plastic and ceramic packages. Fumes can be created during the marking and create residues on the equipment surfaces and fume extraction filters. Plastic epoxy packages contain antimony trioxide as a fire retardant (typically 1–5%), and ceramic packages may contain beryllium. Cluster Tools. Many cleanroom processes can be combined into what is termed a cluster tool. These are discrete functional modules linked to a central robot that allows the semiconductor device manufacturer to purchase one process tool that may perform dry etching, metallization and passivation in one piece of equipment. By 1995, cluster tools are expected to make up greater than 60% of the semiconductor thin-film processing equipment market.[50] From an IH perspective, cluster tools tend to have concerns comparable to the processes occurring on standard fabrication equipment. One
Industrial Hygiene 237 exception to this is the possibility of accidental mixing of incompatible chemicals from separate modules that share a common chamber. [50] This concern is being addressed in the design of the equipment. The other concern relates to the exhaust effluents that may be going up a single treated exhaust system. Exhaust conditioning equipment should be investigated closely to ensure that it can handle the multiple chemical species that may be formed, and that it is designed for ease of maintenance and safety. Vacuum Pumps. Vacuum pump systems are used throughout semiconductor processing. Some examples are: plasma etching and ashing, ion implantation, low pressure CVD, and metallization. The major categories of vacuum pumps are categorized in Table 6. The historical usage sequence in the semiconductor industry has been: diffusion, ion getter pumps, turbopumps and cryopumps with the emergence of the turbopump as the major pump choice for IC manufacturing.[51]
Table 6. Vacuum Pump Types
Types a.
Mechanical pumps 1. Oil-seal rotary pump (roughing) 2. Roots-pump 3. Molecular-drag pump
b.
Oil diffusion pumps
c.
Turbomolecular pumps
d.
Cryogenic pumps
e.
Crysorption pumps
f.
Getter pumps 1. Ion pump 2. Sublimation pump 3. Evaporation pump 4. Sputter-ion pump
238 Semiconductor Safety Handbook Mechanical type oil-seal rotary roughing pumps in conjunction with either in-line oil diffusion, or cryogenic pumps are used. The use of independent turbomolecular pumps has been increasing. There is also a tendency in some processes to use dry pumps (i.e., those the do not require pump oils) in place of pumping process that had previously required the use of expensive inert perfluoropolyether fluids,[52] which had been a replacement for the still older technology of hydrocarbon oils used in conjunction with a cold trap (a cryogenic method of removing reactive gases prior to contact with the pump). IH concerns associated with vacuum pumps center on off-gassing during pump oil changes and during regeneration of cryopumps. This offgassing can be the parent compounds used in the process, reaction products formed as part of the production operations, reaction products formed between the gases being pumped and the pump oil, or a mixture of these categories. As previously mentioned in the doping section, significant hydride exposures have been found during ion implanter cryo-pump maintenance when regeneration was done in other than a closed system.[14] While a concern, there have been no published reports of significant dopant gas exposures during oil changes of vacuum pumps used with dopants—possibly because this is usually done as a closed system. The lack of reported exposure may also be a result of low levels of off-gassing of hydrides from the used oil. Figure 1 shows the result of a field study where 700 ml of used roughing pump oil from an ion implanter which used both arsine and phosphine was heated.[22] Head space analysis of the heated oil only showed detectable concentrations of airborne hydrides when the pump oil exceeded 70°C. Since normal operating temperatures for mechanical roughing pumps are 60–80°C, this study did not indicate the potential for a significant exposure. 2.5
Continuous Gas Monitoring
As early as the 1970’s, progressive semiconductor manufacturers began installing the first continuous gas monitoring systems for flammable and toxic gases. The main focus of this monitoring was to detect accidental releases of the most toxic gases with odor thresholds above the TLV® concentration (e.g., arsine and diborane). The use of continuous monitoring for determining compliance with governmental personal sampling requirements is an area of conjecture. Recent studies have shown that under certain circumstances continuous monitoring systems can substitute for compliance monitoring. One study at a petrochemical facility showed good
Industrial Hygiene 239 correlation between results of personal sampling and continuous monitoring system (r = 0.70 and 0.92).[53] Another study done in conjunction with the Johns Hopkins epidemiological and IH study of IBM’s US Semiconductor Operations showed ICAM™ mass spectrometer monitoring overestimated personal sampling results and therefore could be used to demonstrate compliance with governmental exposure regulations.[54]
Figure 1. Headspace Analysis of Heated Roughing Pump Oil[22]
Most industrial hygiene air monitors in the semiconductor industry are used for flammable and toxic gas leak detection (see Ch. 11, Toxic Gas Monitoring). However, some facilities are also using continuous monitoring systems to: • Analyze exhaust duct (stack) emissions • Quantify ambient air concentrations of volatile chemicals • Identify and quantify odors in the fab areas (see Sec. 4.0, Odor Identification, for additional discussion) The technologies most used in the semiconductor industry for this type of monitoring are mass spectrometry (e.g., Perkin-Elmer ICAMS) and Fourier transform infrared (e.g., Telos FTIR).[55]-[59] The detection limits for ICAM and FTIR systems are similar. For compounds used in semiconductor manufacturing, the detection limits needed are typically less than 0.1 ppm for single airborne volatile organic compounds. The detection limit is often one or two orders of magnitude higher for mixtures, inorganics,
240 Semiconductor Safety Handbook and when sampling for unknowns.[57][58] The other major limitation of continuous monitoring—aside from cost—is the inability to detect certain compounds. Some facilities approach this by purchasing multiple types of monitors (e.g., one for hydrides: arsine, phosphine, diborane, and silane), one for organics, and compound specific monitors for other chemicals such as nitrogen trifluoride. This is an effective but costly solution.
2.6 Surface Contamination The subject of wipe sampling is not well defined in standard industrial hygiene reference books. The use of wipe sample data is open to interpretation.[60] However, wipe sampling is of interest to the semiconductor industry because of certain metals used in the manufacturing process such as arsenic, antimony, chromium, and lead. A variation on this technique is also used in the evaluation of surface contamination with sealed radioactive sources (see Ch. 6, Radiation Safety).[61] Ordinarily wipe samples are used to semi-quantitatively measure surface contamination of materials that may present an ingestion hazards. At least in theory, wipe samples can also be used to establish the potential for skin absorption and/or hazardous skin effects, but this is normally very secondary to their use as indicators of the potential for ingestion of toxic metals. When wipe sample data show “high” levels of contamination, the surfaces should be cleaned more frequently or other steps should be taken to reduce the contamination. What is considered “high” is the debatable issue. As an example, the U.S. Federal OSHA lead standard states: “All surfaces shall be maintained as free as practicable of accumulations of lead.”[62] Similar wording is also contained in the OSHA arsenic standard.[63] The following approach to wipe sampling is often used in the semiconductor industry: • Take wipe samples of work surface to establish the presence of the suspected contaminant • Thoroughly clean the surface and/or change work procedures to prevent contamination • Resample to determine post-clean contamination levels and to establish a comparison for future sample results One simple, generic approach to establishing an acceptable surface residue level is:
Industrial Hygiene 241 • Take the airborne standard (e.g., 10 µg/m3 for arsenic, 50 µg/ m3 for lead...) • Use the average amount of air inhaled during an 8-hr shift (i.e., 10 m3 ) • Assume the total retention of the inhaled material during the shift and calculate an effective dose (i.e., 100 µg for arsenic, 500 µg for lead, etc.) • Apply this calculated value to the typical surface area that has been wiped in obtaining the sample (e.g., 100 cm2), to obtain the “standard” for the material (e.g., 100 µg/100 cm2 for arsenic, 500 µg/100 cm2 for lead...) This procedure is also the basis of a California’s OSHA wipe sample requirement in the 4,4'-Methylenebis(2-Chloroaniline), MBOCA, standard. Section 5215(c)(2)(C) of California’s General Industry Safety Orders states: “Accessible surfaces which employees are likely to contact shall not exceed 100 µg MBOCA per 100 cm2 of surface. For regulatory purposes, the average of at least five wipe samples shall be used; if one sample exceeds the mean by a factor of 10 or more, it will be rejected as not being representative.” MBOCA has a Cal-OSHA Permissible Exposure Limit of 10 µg/m3.
2.7
Noise
The general exposure of semiconductor employees to noise is not a significant issue within semiconductor manufacturing areas. The major noise sources in cleanrooms are the vertical laminar flow hoods and ceilings, and air escaping from nitrogen wands or feeds on equipment. Background noise from vertical laminar flow hoods and ceilings typically result in noise levels of about 75–78 dBA in the cleanroom. The major noise exposure area within the semiconductor industry is core building areas (or pad areas) where equipment for the operation of the building is located (e.g., HVAC compressors, chillers, boilers or cooling towers). These areas often run about 85–95+ dBA. Maintenance personnel may or may not work in these areas long enough in duration to trigger a requirement for involvement in a hearing conservation program. In addition to core building areas, specific diffusion furnaces have been measured up to 86 dBA. With several of these furnaces installed, the noise levels in the service chase area may exceed 90 dBA.
242 Semiconductor Safety Handbook Many semiconductor companies specify 85 dBA or even 80 dBA as the trigger for employees being required to participate in their hearing conservation program. However, because of the variability of time spent in the core areas, some semiconductor companies designate (and post) building core areas as areas requiring hearing protection and require anyone working in the area—regardless of duration—to participate in a hearing conservation program. A prudent rule to follow is that hearing protection should only be considered the final solution when reductions in the noise levels through engineering controls are unfeasible or insufficient to reduce levels below 85 dBA. Some key elements of a hearing conservation program include: • Baseline and periodic sound surveys, including appropriate postings • Baseline and annual audiometric exams • Selection of the proper hearing protection based on the noise levels and employee preferences, with a minimum of three different models of protectors for each category of protector (e.g., ear muffs, foam ear plugs; or molded/plastic ear plugs) • Training on the elements of a comprehensive hearing conservation program including the anatomy/physiology of hearing, hearing loss, audiometric testing, allowable exposure levels, and the care and use of hearing protection • Periodic checks on the effectiveness of the program The basis of a good hearing conservation program are contained in the Refs. 64–67. They should be consulted for additional information.
3.0 PERSONAL PROTECTIVE EQUIPMENT 3.1
Background
The extensive use of personal protective equipment (PPE) in the semiconductor industry has been driven traditionally from the desire to protect the fab employee from the numerous chemical and physical agents in the fab environment. Another equally compelling goal is to isolate the worker from the wafer for process cleanliness reasons. The standard
Industrial Hygiene 243 cleanroom gowning and PPE protocols require extensive use of cleanroom clothing, head and foot coverings, “cleanroom” gloves, face coverings, and safety glasses. “Cleanroom” gloves are typically made of either vinyl, PVC or natural rubber. The intended purpose of these gloves are entirely for particle isolation, but they also can act as a simple physical barrier for toxic metal residues that may be present in some areas of the fab environment. However, they are not adequate for situations where chemical protective gloves are needed. One study of 0.15 millimeter-thick polyvinyl chloride cleanroom gloves using ASTM standard test method F739.81 found that breakthrough times for methanol averaged less than 2 minutes (N = 5).[22] When PPE is needed for safety reasons, such as to protect the skin from chemical contact, additional safety specific PPE is necessary such as chemical protective gloves, safety glasses, aprons, arm guards, etc. The primary focus of Sec. 3.0 is on two specific areas of PPE— chemical protective (CP) gloves and respiratory protection. In both of these areas, the focus is on providing insights into the semiconductor fab environment and relevant information that is considered significant by the authors (rather than a general overview of these two topics).
3.2 Chemical Protective Gloves Contact with the chemicals used in semiconductor fabrication processing has historically accounted for a significant portion of all reported illnesses and injuries in the semiconductor industry.[68] The extensive use of many categories of hazardous materials such as acids (with special emphasis on hydrofluoric acid (HF), bases, organic solvents (including the glycol ethers), toxics (such as arsenic, antimony, and lead), and materials with unique chemical properties such as n-methyl-2-pyrrolidone (M-Pyrol, or NMP) and hexamethyldisilazane (HMDS). All of these materials present the potential for a dermal exposure hazard. While many of the fabrication processing steps are automated and isolated in a state-of-the-art class 0.XX fab area, there are many times when the manual handling of liquids, solids or other residues is still required. In the older class 1, 10, and 100 fabrication areas, chemicals may still be manually handled by fab area workers, and dispensing performed from chemical containers versus the state-of-the-art bulk chemical dispense systems. Some examples of manual chemical handling that present a hazard for direct contact include: routine maintenance activities; cleaning of equipment or work surfaces; material transfers; the cleanup of spills, leaks or residuals in equipment or dispense vessels; the
244 Semiconductor Safety Handbook final handling of hazardous waste containers; and decontamination/decommissioning of process or support equipment surfaces. Glycol-Ether Derivatives. For certain solvents used in semiconductor processing, dermal exposure is of particular concern because they are readily absorbed through intact skin. Included among these are several ethylene glycol ether derivatives (e.g., ethylene glycol monoethyl ether acetate) which are used in the photolithographic resist formulations and are recognized animal reproductive toxins. A series of experiments were performed by Zellers, et al., to determine the effectiveness of different gloves with glycol ether mixtures and pure components that are used in semiconductor processing.[68] The glycol ether derivatives that were tested were: 2-ethoxyethyl acetate (2-EEA or Cellosolve Acetate), n-butyl acetate (NBA), 2-methoxyethanol (2-ME), 2-methoxyethyl acetate (2-MEA), and xylene. The glove materials that were tested were: butyl, nitrile, and natural rubber, as well as a natural rubber/neoprene blend and a natural rubber/ neoprene/nitrile blend. Breakthrough times (BT) and permeation rates (PR) were determined using a permeation cell according to the ASTM F739-85 method.[70] It was concluded from the testing that butyl gloves showed by far the best BT and PR for the materials tested, with only the xylene or xylene/2-ME mixture showing a noticeable decrement. Butyl gloves were also found to be the least affected by the temperature changes used in the testing (25° and 37°C). Nitrile gloves were found to be the second best choice. It should be noted that the authors did conclude that the large differences in glove properties when exposed to mixtures or individual compounds suggest that empirical evaluation is the only means for determining the safe choice of protective materials. Mention was also made of dexterity problems with butyl gloves. Zellers also did an additional study of the similar semiconductor photolithographic materials, with the addition of the propylene-glycol-derivative, propylene glycol monomethyl ether acetate (PGMEA) and Nmethylpyrrolidone (NMP).[71] PGMEA has been widely used as a substitute carrier solvent in photoresists to replace the ethylene glycol ether derivatives that have been recognized as animal reproductive toxins. Although there were numerous test results that were conflicting, the authors still found the butyl gloves to have the best BT and PR values, with the nitriles being the second choice. There was a notable exception for butyl gloves with high xylene concentrations (80%, as would be found in standard negative photoresist formulations), there was a significant alteration in the PR for 2-ME. The authors concluded that “the selection and use of
Industrial Hygiene 245 chemically protective gloves must be based on detailed, task-specific evaluations of key variables such as duration of potential exposure, temperature, glove reuse practices, and the composition of solvent mixtures.”[71] Another consideration in selection of CP gloves is their availability in models that are compatible with the cleanroom specifications for the fab in which they will be used. N-Methyl-2-Pyrrolidone. N-methyl-2-pyrrolidone (commonly referred to as NMP or M-Pyrol) is used within the semiconductor industry as a degreaser, a resist stripper and as a solvent for phenolic die coat resins is known to cause skin irritation and to rapidly permeate through intact skin. The U.S. Environmental Protection Agency (EPA) in a final assessment document on NMP, found this material as a “significant risk” of reproductive and developmental effects for people working with NMP-based paint strippers.[72] The exposure potential from the use of NMP in paint stripping is completely different from its use in semiconductor processing as a photoresist stripper. In fab use, NMP is isolated from the fab worker in processing equipment that is a closed system. The low vapor pressure of NMP (0.342 mm Hg at 25°C) limits the saturated vapor concentration to about 450 ppm at room temperature. It has been reported that hydrolysis in air at relative humidities of 40–60% (a typical level found in a semiconductor fab area) can reduce maximum concentrations to approximately 130 ppm.[73] The potential inhalation exposure hazard is low, but NMP is readily absorbed through the skin, and repeated or prolonged skin contact with the liquid can cause severe dermatitis.[73] Another recent study of exposure to NMP vapors in semiconductor fab production facilities, symptoms of eye irritation and headache were observed at relatively low vapor concentrations (0.72–15 ppm). Based on these results, the authors of the study recommended a maximum exposure limit of 0.1 ppm, with a “skin” designation recommended in recognition of the dermal absorption potential of NMP.[74] Dermal absorption may in fact represent the most important route of exposure to NMP. In research by Zellers and Sulewski,[73] their study investigated the permeation of NMP through butyl and natural rubber gloves at various elevated temperatures (up to 50°C, with extrapolation to 70° and 93°C). They concluded that butyl-rubber gloves provided excellent protection under all test conditions, suggesting that these gloves be used for protection from NMP in all cases where particulate contamination from the butyl gloves can be tolerated. Unfortunately, butyl gloves are a known
246 Semiconductor Safety Handbook source of particulates and therefore may be difficult to get approved for use in a state-of-the-art fabrication area. Hydrofluoric Acid (HF). The use of hydrofluoric acid as an etchant in semiconductor processing is essential. HF’s ability to etch glass (SiO2) was one of the key processing steps that allowed the precise removal of openings in a glass layer that has been applied to the exterior surface of the wafer through oxidation or epitaxial deposition. This use as an etchant is still a cornerstone of current IC processing. HF is typically used in a “straight” 49% solution as a liquid wet etchant at elevated temperatures or in a mixture know as “buffered oxide etch” (BOE) that contains diluted HF and ammonium fluoride. This process is usually performed in a wet sink that is equipped with LEV and splash shields. Fabrication workers wearing PPE then etch cassettes of wafers in a hot HF etch bath for a specified time period. This technique is essentially a total immersion process and the cassette is removed and quickly placed in an adjacent “rinse bath” containing ultrapure de-ionized water (DI water) that dilutes the HF and stops the etching process. The chemical etch baths are typically manually poured with the etch solution or the BOE mixture into the bath. Both the etching process and manual addition of etchants can cause splashing, spillage or condensation of HF vapors on cooler surfaces. HF looks identical to water on a surface, and if the fab workers are not properly trained as to this fact, and performing excellent housekeeping of liquid residues, skin contact is almost inevitable. Until the late 1980’s HF acid burns were a frequent occurrence in most production semiconductor fabrication areas. With an emphasis on training employees about the hazards of HF, better work practices in fab areas, the increased use of bulk chemical dispense systems, and tighter IC geometry requirements mandating the use of dry chemical etching, the incidence of HF burns has dropped significantly. HF is well respected in the semiconductor industrial hygiene community not due to the particular strength of the acid (it is generally considered to be a weak acid at the percentage solutions that are used), but partly because of this property: HF burns from dilute solutions do not cause immediate pain, and therefore no warning signal is provided to the exposed individual indicating the chemical is on the skin. This can result in prolonged exposure prior to recognition. The severity of the HF burn is a function of the duration of contact, the strength of the material, quality of the skin involved, and other physical factors. For chemicals like HF that have the ability to penetrate intact skin, the duration of contact is extremely important in determining the depth of
Industrial Hygiene 247 the injury. HF is also unusual in that the uncharged form of HF is able to penetrate the lipid barrier and enter the deeper layers of the skin and the subcutaneous tissues. Once there, the fluoride ions exert their effect as an extremely corrosive material, and bind to the tissue surfaces. The ions attack enzymes as well as the structural components of the cell membranes and organelles. It is this effect that is thought to cause the damage to the tissues rather than the effect of the hydrogen ion acting as an acid.[75] The bottom line with HF is that prevention of exposure is the best approach with stringent enforcement of the use of appropriate PPE (including pretesting all acid gloves for pinhole leaks prior to use), detailed housekeeping (cleaning up all residues on work surfaces even if they look like water), and constant training of personnel with potential for exposure to this acid. This training should include treating all skin contact with unknown liquids as HF until analysis (such as the use of HF-specific dip sticks) show otherwise. Chemically Protective Glove Use Per Process Area. Chemicalprotective (CP) gloves may be required for many of the operations performed in cleanrooms. The primary processing steps that require the use of CP gloves are oxidation, photolithography, etching, junction formation, deposition, and metallization. A brief description of the types of dermal hazards that may be encountered in each semiconductor processing step is presented in Table 5.[68][71][76] Much of the focus on CP gloves has been on the lithography processing area because of possible dermal exposure from the glycol ether compounds used in photoresist application and development processes. Glove Selection. The proper selection of gloves or other protective garments that act as a barrier against direct physical contact with the skin or other body surfaces is made difficult by the wide range of hazardous chemicals encountered in semiconductor processing. The prevalence of mixtures of chemicals and manufacturing specific formulations whose permeation characteristics are usually not scientifically tested or published, and based only on empirical data from actual use in the industry. The complexity and variety of chemical operations performed in the semiconductor industry (as detailed in Table 7) makes scientifically precise glove selection extremely difficult. Generally, the most hazardous material that may be encountered is used to define minimum CP glove selection, with unusual property materials being factored in any final selection. Even more difficult is defining a set of workable procedures for specific change out frequencies for gloves based on a combination of skin contact hazard
248 Semiconductor Safety Handbook and glove permeation rate. Therefore most semiconductor manufacturers set a generic requirement that all chemical protective gloves are changed when internal contamination of the glove is suspected or at the end of each shift, whichever is sooner. Obviously, at the first sensation of wetness or irritation the glove should be disposed of in a hazardous waste container.
Table 7. Chemical-Protective Gloves Used Per Processing Step[71][74][79]
Processing Step
Types of Gloves
Special Hazards
Oxidation cleaning
Acid resistant—usually natural Protection against hydrofluoric rubber, nitrile or latex. acid (HF)
Photolithography precleaning
Acid resistant—usually natural Protection against HF and other rubber, or latex. acids/mixtures (H 2SO4, H3PO4, HCl, HNO3 , and H2O2).
photoresist application
Solvent resistant—usually nitrile, neoprene, natural rubber; blends; butyl offers the best protection, or viton for special applications.
Special concern over the permeation of glycol ethers, and xylene mixtures, n-butyl acetate, acetone, and ethyl benzene. HMDS used in vapor priming requires special selection criteria.
developing
Solvent resistant as above for negative PRs; corrosive resistant for positive developers.
As above, except positive PR’s utilize a dilute mixture of hydroxides.
stripping wet chemical
Acid resistant—usually nitrile, M-Pyrol has an unusual characnatural rubber, or blends; butyl teristic of attacking most polyfor M-Pyrol. mers and elastomers. Butyl rubber gloves are typically recommended.
dry chemical
Acid resistant—usually natural Cleaning of etch chambers, rubber, nitrile or blends. traps, and other maintenance activities—corrosive materials— usually acids. (Cont’d)
Industrial Hygiene 249 Table 7. (Cont’d) Processing Step Etching wet & dry
Types of Gloves
Special Hazards
As above—stripping.
As above—stripping.
diffusion
Acid resistant—usually natural rubber, nitrile, or blends; toxic metals/spin on dopants; toxic metals—surface protective gloves such as latex or vinyl; spin on dopants—solvent-type gloves.
Highly corrosive liquid sources such as POCl3 , BBr3, HBr, BCl3 are sometimes used, and require the use of extreme precautions to avoid skin contact. Toxic metals such as antimony are used in collector deposition are surface contact hazards and require good housekeeping and personal hygiene. Past use of spin on dopants that had a carrier solvent required use of solvent resistant gloves.
ion implantation
Acid resistant—usually natural rubber, nitrile, or blends; toxic metals— surface protective gloves such as latex or vinyl.
Highly corrosive gases are used such as BF3, PF5, and AsF5 which leave highly corrosive residues in source housings, traps and pump systems. Toxic metals such as arsenic and phosphorus are surface contact hazards and require good housekeeping and personal hygiene. Phosphorus is a fire hazard.
Epitaxial
Acid resistant—usually natural rubber, nitrile, or blends.
Use of highly corrosive compressed liquids or gaseous materials such as HCl, SICl4, SiH2Cl2, SiHCl3 that leave corrosive residues in reactor chambers, gas dispense systems, and pump systems.
CVD
Acid resistant—usually natural rubber, nitrile, or blends.
Limited use of corrosive materials such as HCl, SiCl4, and ammonia that leave corrosive residues in reactor chambers, gas dispense systems, and pump systems. (Cont’d)
Junction Formation
Deposition
250 Semiconductor Safety Handbook Table 7. (Cont’d) Processing Step Metallization
Types of Gloves
Special Hazards
Acid resistant—usually natural rubber, nitrile, or blends.
Strong acids (fuming nitric and Aqua Regia) are used for noble metal liquid etching (gold and platinum) and require precautions in the use CP gloves. Dry metal etching uses highly corrosive compressed gases and liquids (as detailed in Epitaxial—above).
All of these functions require the use of CP gloves that are the most protective available.
Typically, utilize a double layer of CP gloving (such as a latex interior, and exterior of Viton® or butyl rubber, etc.). Extreme precaution must be made in the selection of CP gloves and protective garments for these applications.
Other • • •
Maintenance Spill Response Hazardous Waste Handling
Pre and In-Use Glove Testing. Additionally, most semiconductor manufacturers require that employees pretest all CP gloves prior to use. The testing protocol generally specifies the nitrogen inflation test, and the submersion in a DI water bath (looking for bubbles) or tying the glove off and waiting a specified time period for deflation (this method will help to detect minor or “pinhole” size leaks that have historically been one of the sources of dermal contact burns in the industry). The rationale for these testing and change requirement’s is that when a chemical is absorbed by the CP glove material, the chemical initiates a degradation of the physical integrity of the glove, and materials begin to physically permeate into the matrix of the glove material. Short duration washing of the material with soap and water removes some portion of the surface contamination, but not the absorbed chemical. After surface decontamination, some of the absorbed chemical will continue to permeate the glove material (e.g., overnight) and may ultimately appear on the inside surface.[77]
Industrial Hygiene 251 The amount of material that permeates to the inside surface after decontamination usually is not enough to be a hazard. A more important concern is that once the material has been penetrated, subsequent contact with the chemical will result in breakthrough times far less than normal. Because the altered breakthrough times can not be calculated, the effectiveness of the glove can not be ensured even when there are no visible signs of a problem, and should be properly discarded.[78]
3.3
Respiratory Protection
The nature of semiconductor manufacturing helps to minimize chemical exposures during normal manufacturing operations. Also, process equipment manufacturers commonly design in additional controls to minimize chemical releases.[79] Therefore, exposures during production operations are typically far below recommended and legal limits. For this reason respirator usage within the semiconductor industry is normally confined to emergency responses and some short duration maintenance operations where other controls are not feasible or additional protection is desired including: • Self-Contained Breathing Apparatus (SCBA) used during emergencies (e.g., major chemical spills, toxic gas releases /air monitoring alarm conditions, or investigation of “unknown odors”) • SCBA and airline respirators, with an emergency escape bottle, used as a precautionary measure during the changing of toxic gas cylinders (e.g., arsine, phosphine, and diborane) • Airline respirators or air purifying cartridge respirators used during certain equipment maintenance operations most notably those involving arsenic (e.g., cleaning GaAs crystal growers and cleaning source housings of ion implanters using solid or gaseous arsenic) Respirators as part of a routine operation should only be considered when other controls are infeasible or insufficient. Key elements of a respirator program include: • Selection of the proper respirator based on the chemicals, exposure concentrations, and employee preference • Medical surveillance of the respirator wearer
252 Semiconductor Safety Handbook • Fit testing of the respirator wearer to ensure a proper sizing and face seal • Training of the respirator wearer on the use and care of the respirator • Periodic checks on the effectiveness of the program The elements of a good respirator program are contained in Refs. 80– 83. They should be consulted for additional program details. A comprehensive respirator program involves many regulatorily driven requirements and procedures. Some guidance on the implementation of a comprehensive program involves: 1. Evaluate the materials in use, exposure parameters, and IH data to define appropriate equipment. 2. Identify persons who are required to wear respiratory protection, and ensure they are properly trained and maintain current certification. 3. Ensure that every person who uses a respirator is fully informed regarding the hazards of the task they will be performing, and is instructed in the proper use of the device. 4. Ensure employees use and maintain all required respiratory devices in a clean and sanitary condition. 5. Confirm that the person is physically able to perform the work while using a respirator. A local occupational health physician should determine what health and physical conditions are pertinent. The industrial hygienist at the manufacturing location should: 1. Establish a written respiratory protection program. 2. Conduct air sampling to evaluate the need for respiratory protection. 3. Assist with the selection and procurement of respirators. 4. Develop instructions on the fitting and use of respirators, and ensure employee training is provided and certifications are issued for respirator use. 5. Conduct regular inspections and evaluations to determine the continued effectiveness of the program.
Industrial Hygiene 253 Exposure from breathing air contaminated with harmful dusts, fogs, mists, smokes, sprays, or vapors should be controlled as far as practical by engineering controls, such as enclosures or LEV. When effective engineering controls are not feasible, appropriate respiratory protection procedures must be developed, and protective equipment must be used. In addition to air supplied respirators and cartridge respirators, dust masks are used during a variety of operations within the semiconductor industry where typically the chemical exposures are below levels considered significant. Often the dust masks are worn for short duration operations to protect against annoying nuisance dusts present at levels below the occupational exposure limit. Another frequent use for the dust masks is to minimize exposure to toxic dusts present at levels far below allowable limits such as during typical dross removal from a wave solder machine or adding antimony trioxide to a collector deposition weigh out and load station on a diffusion furnace. While requirements for the use of dust masks are not as great as other air purifying respirators, they can present a hazard to the user under certain circumstances. Because of this, the following actions need to be taken: • A decision needs to be made by the site’s consulting physician on what, if any, medical surveillance is needed for the users • Users need to be educated in the use and limitations of the dust masks • Distribution of the dust mask needs to be controlled to prevent unauthorized use Also, operations where dust masks are used need to be evaluated and the results of the evaluation should be included with the documentation for the respirator program to show the dust masks are adequate for the task. When an employee working in a semiconductor operation desires to wear respiratory protection for personal reasons, the job task, environment, and circumstances must be reviewed by the supervisor, department manager, occupational health nurse, and designated health and safety person. If a respirator is worn for personal reasons, the wearer should be included in the respiratory protection program. As a minimum, the wearer must receive health surveillance, and training on usage care and limitations of the respirator. Also, if a respirator is worn for personal reasons, the fact should be documented as part of the written respiratory protection program. Some examples of processing locations where nuisance dusts may annoy the operators are:
254 Semiconductor Safety Handbook • Pyrox or Silox operation where the reactor platter may need to be vacuumed for amorphous silicon dioxide residues • During bead-blasting operations where non-contaminated processing equipment parts are being cleaned • During surface cleaning operations where physical residues of nonhazardous processes create particulate levels—such as cleaning the packing at the load end of diffusion furnaces (assuming the furnace is newer and no asbestos is present) Industrial hygiene monitoring should be performed for each nonemergency operation or procedure where a respirator is used, including where respirators are worn for personal reasons. Only respirators which are approved and provided by the semiconductor manufacturer, and certified by competent authority (e.g., UK, HSE; US, NIOSH or MSHA) should be allowed to be worn by employees. Respirators selection should be made according to generally recognized standards (e.g., ANSI Z88.2, Practices for Respiratory Protection).[82] Whenever respirators are used, a written program which addresses the selection and use of respirators should be in place and include the following elements: • The name of the individual designated as responsible for implementing and maintaining the respiratory protection program • The name of each operation or procedure where respirators are used • The type of respirator used for each operation or procedure • Conditions under which the respirators are to be used. For each operation or procedure, this should include: (a) Chemical(s) (b) Average exposure concentration (c) Peak exposure concentration Training. Users must be thoroughly trained and knowledgeable about the nature of the contaminants, the potential exposure, and the proper use, care and limitations of the respirator. Refresher training should be performed at an appropriate frequency, usually once a year. Fit Testing. At the time of issuance, the respirator must be tested to determine that an effective face seal is possible. Employees not able to demonstrate a good facial seal must not be allowed to wear a respirator. For
Industrial Hygiene 255 this reason, employees with beards should not be allowed to wear a respirator for ERT purposes or when airborne chemical concentrations are significant. The use of respirators by bearded employees in nonhazardous environments is a policy decision to be made at each individual site (and is considered controversial). Fit tests must be repeated at an appropriate frequency, typically once a year. Maintenance and Care. Respirators must be cleaned, disinfected, inspected, and properly stored after each use. Any part that is worn or deteriorated must be replaced. Health Surveillance. Any employee assigned to a task that requires the use of a respirator must receive a health examination to determine that the person is physically able to perform under such conditions (see Ref. 83). Additionally, evaluations must be made periodically to determine that medical conditions affecting the safe use of respirators have not changed (see Ref. 83). Documentation. Records should be kept of the following: • Inspection dates and findings for respirators maintained for emergency use • Industrial hygiene monitoring, relative to the respiratory protection program • Health surveillance results • Job assignment information for users • Training curricula and attendance records • Maintenance and repair records For U.S. based operations, industrial hygiene monitoring records must be maintained in accordance with OSHA regulations (30 year minimum); health surveillance results must be maintained in accordance with OSHA regulations; all other documentation for the respiratory protection program should be maintained for a minimum of five years (see Sec. 5.0). Program Evaluation. An annual evaluation should be conducted to determine whether respirators are being correctly used, maintained, and stored. This evaluation should include physically inspecting a representative sample of the respirators used on the site. The representative sample should include at least 10% of the respirators used on site.
256 Semiconductor Safety Handbook 4.0
ODOR IDENTIFICATION
Many times odors are associated with chemical contaminants coming from inside or outside the fab manufacturing area. The complexity of the semiconductor manufacturing process coupled with tremendous volumes of air circulation by the vertical laminar flow hoods make the identification of the odor sources very difficult. Also, the use of a wide variety of toxic substances in the process adds to concern by employees in the fab area that unusual odors may indicate a potentially harmful exposure. Often, these odors are transient in nature which makes response time a critical element in their identification. The following table identifies some of the more common examples of transient odors that may be found in the fab area, and direct reading instruments used to determine the level of IH significance. While direct reading instruments are typically adequate for identifying odors at concentrations that are significant from a health concerns perspective, often the odor threshold for these compounds is less than the detection limit for the instrument. Figure 2 is a flow diagram that outlines a systematic approach to track-down the source of an odor complaint. Odors of unknown composition associated with specific processes are a particular challenge within the semiconductor industry. One example of this is the variety of reaction products formed during plasma etching processes sometime result in strong odors that occur during maintenance. Of the plasma systems used in semiconductor manufacturing, the greatest assortment of toxic and carcinogenic compounds are present in plasma metal etching systems with chlorine-based chemistries. However, other plasma etching operations also have potential for significant odor generation. Metal etcher maintenance operations of greatest concern are: reaction chamber cleans, vacuum pump maintenance, and cleaning cold traps.[19][35][36][38][84][85] Various parent compounds, operating characteristics, and plasma etchers are used in etching operations. This results in diverse concentrations and compounds in the breathing zone of the maintenance technician. Currently no procedure exists to determine what reaction products will form in a particular plasma etcher. Information from past plasma etcher surveys can be used to partially determine a sampling strategy for a particular etch system. However, to a certain extent, each different system must be approached as an unknown. Therefore, two separate surveys are usually necessary to evaluate a plasma etcher maintenance operation.
Industrial Hygiene 257 Table 8. Transient Odors and Portable IH Monitoring Techniques
Odor or Unknown
IH Techniques
Solvent—type (glycol-ethers or photoresists)
Combustible gas, photoionization detectors (PID), or infrared detectors
Corrosive-type (HCl, chlorine, or acids)
Detectors tubes or colormetric tape detectors
Toxic gases (arsine, phosphine, diborane, stibine)
Colormetric tape detectors or PID
Burning-type (decomposition or combustion products)
PID, carbon monoxide & nitrogen oxides —detector tubes or dedicated monitors
Plastic/rubber-type (wiring, gaskets, insulation, etc.)
PID, carbon monoxide & nitrogen oxides —detector tubes or dedicated monitors
Paint or Glue-type (typically floor or wall painting, or sealing)
Combustible gas, PID, or detector tubes
Vinegar-type (RTV sealant, or acetic acid)
Detector tubes
HEPA filter-type (new HEPA filters)
PID or olfactory
Sewer-type (sanitary sewer or acid waste lines)
Detector tubes for hydrogen sulfide
Prickly or Irritating-type (acid gases)
Detector tubes or colorimetric tape detectors
258 Semiconductor Safety Handbook
Figure 2. Odor Investigation Flow Diagram
Industrial Hygiene 259 In the first survey, head space and bulk air samples are taken to identify possible airborne compounds from the particular operation. For reaction chamber cleans, bulk air samples are taken inside the reaction chamber. Also, a residue sample is taken from the reaction chamber for subsequent head space analysis. For cold trap surveys, air samples are taken inside the trap and at the discharge port. In pump oil surveys, a sample of used oil is taken for a head space analysis and air samples are taken above the used oil as it is transferred. Used oil filters can be evaluated by placing them in a plastic bag, allowing them to off-gas, and then analyzing the head space of the bag. Air samples should also be taken near the old oil filter as it is removed. These samples are analyzed for certain compounds identified in previous surveys and for unknowns. Bulk air samples, coupled with Concentration Mass Spectroscopy (MS/MS), can be used for the detection and identification of volatile inorganics and organics. Unknown volatile organics can also be identified using Tenax® tubes with subsequent thermal desorption and Gas Chromatography/Mass Spectroscopy (GC/MS) analysis. The results of the first survey are used to determine the sampling strategy for a breathing zone survey. Data from the first survey can also be used in evaluating the adequacy of chemical protective clothing used by the technician. In the second survey, personal breathing zone samples are taken using standard occupational hygiene procedures (e.g., NIOSH and OSHA methods). Data from the second survey are used to characterize the operation and to evaluate the need for airborne emission controls.
5.0
RECORDKEEPING
5.1
General
All exposure assessments that qualitatively or quantitatively estimate employee exposures to chemical or physical agents should be retained indefinitely. This practice provides historical documentation in the event an employee or ex-employee develops a chronic adverse health effect that may be related to his or her occupational exposure. It also provides the epidemiologist with useful information in the event of a retrospective health study such as the recently performed U. C. Davis study sponsored by the Semiconductor Industry Association.
260 Semiconductor Safety Handbook U.S. regulations require that employee exposure records be maintained for at least thirty years.[86] Exposure records for certain chemicals (e.g., arsenic, lead, etc.) must be retained for at least 40 years, or for the duration of employment plus 20 years, whichever is longer.[87] At the expiration of the retention period, advanced notice must be given to OSHA before disposal of the records. Records of employee exposure to ionizing radiation required by U.S. regulations must be retained indefinitely.[88] Exposure records that are retained should include: • Name of individual, equipment, or area assessed • Identifying numbers (e.g., employee number, equipment model number, social security number, etc.) • Operation performed during the assessment and a brief description of the process including the chemical or physical agents of concern and potential sampling/analysis interferences • Calibration data for the sampling equipment and analytical technique, if applicable • Type of sample if applicable (i.e., personal, breathing zone, or area sample) • Date and time the samples were taken • Analytical procedure if applicable (e.g., NIOSH or OSHA method) • Sample and analytical method if applicable • Analytical results if applicable, including any calculations • Additional observations which may help to characterize the survey When a personal sample is taken, a written copy of the sample results should be included in the person’s medical file. This strategy allows review by the physician or occupational health nurse. This allows the occupational health provider to have a greater awareness of types of chemicals and physical agents the employee works with and their exposure levels. Under U.S. regulations, if a company goes out of business, medical and exposure records are required to be transferred to the successor employer. If there is no successor employer, the records are sent to the appropriate government agency.[89]
Industrial Hygiene 261 5.2
Continuous Monitor Records
Within the semiconductor industry a variety of continuous gas monitors are used (see Sec. 2.5 and Ch. 11, Toxic Gas Monitoring, for additional information). Historically, these monitors were primarily used to determine if a toxic gas leak had occurred, rather than actual measurements of breathing zone concentration of chemicals. Sample points for the monitors were located in exhausted enclosures as well as in potential release points in the clean room. Many of the monitors—particularly older models—gave their results in the form of a hardcopy readout. As large quantities of the readouts began to pile up, semiconductor companies were faced with the issue of what to retain and what to discard. Different approaches to this issue include: • Retain all hardcopy readouts—with the uncertainty of being able to retrieve data on a specific event • Microfiche hardcopy readouts • Retain all readouts documenting any detectable leakage or calibration issues • Retain only those readouts documenting a release that resulted in an alarm level or are required to be retained by regulation, such as Fed OSHA’s 29 CFR 1910.20 which requires that all employee exposure records (specifically “environmental— workplace—monitoring or measuring of a toxic substance or harmful physical agent, including personal, area, grab, wipe, or other forms of sampling”) be retained[86]
5.3
Ventilation Records
In the semiconductor industry, local exhaust ventilation is a key control for the prevention of employee exposure to volatile chemicals. As such, exhaust ventilation measurement records are retained to allow the evaluation for trends in ventilation system performance and to provide exposure control information in the event of possible litigation.
5.4 Employee Communication The semiconductor industry has typically gone beyond what is required by government regulations for communicating exposure results to
262 Semiconductor Safety Handbook employees. Within the semiconductor industry, the results of exposure assessments are typically communicated to all affected employees, not just those who participated in the survey and/or those who are required to be notified by regulation. Methods of communication commonly used include posting on bulletin boards, written memos summarizing the results, and presentations at informal management/employee meetings. The suitability of the particular mechanism used to accomplish this varies according to a number of factors such as the concern level of the employees, complexity of the survey, the exposure levels found, and the methods used by the site to communicate other employee related information (such as benefits modifications, company performance, policy changes, etc.).
5.5
Personnel Records
The incorporation of IH records into the personnel files of employees is a practice that is very much open to conjecture. Typically, this practice is used where there is not an on-site medical department that can act as a defined repository for important (and legally required) IH or medical records to be retained. In this case, and with the concurrence of the companies legal and human resources departments, the retention of this category of records may be the only viable alternative available.
ACKNOWLEDGMENTS We would like to gratefully acknowledge the technical contributions of the following individuals. Their assistance with this project is greatly appreciated: Jim Campbell, Intel; Stan Futagaki, Advanced Micro Devices; Pat Murphy, Intel; Jamie Rubin, Hewlett-Packard.
REFERENCES 1. SEMI Safety Guideline for Third Party Environmental, Health, and Safety Equipment Evaluation, SEMI S7-94, Semiconductor Equipment and Materials International, Mountain View, CA (1994) 2. Patty’s Industrial Hygiene and Toxicology, Fourth Edition, Vol. 2 (G. D. Clayton, and F. E. Clayton, eds.), John Wiley & Sons, New York, NY (1993)
Industrial Hygiene 263 3. ACGIH Documentation of The Threshold Limit Values for Chemical Substances and Physical Agents, and Biological Exposure Indices, Sixth Edition, American Conference of Governmental Industrial Hygienists, Cincinnati, OH (1992) 4. Baldwin, D. G., Rubin, J. R., and Horowitz, M. R., SSA Journal, 7(1):24– 31 (1993) 5. ORC Recommended Standard for Generic Workplace Exposure Assessment, Organizational Resource Councilors, Inc., 1910 Sunderland Place, N.W., Washington, D.C. 20036 (September 1992) 6. Wade, R., Williams, M., Mitchell, T., Wong, J., Tuse, B., Semiconductor Industry Study, California Department of Industrial Relations, Division of Occupational Safety and Health, San Francisco, CA (1981) 7. Baldwin, D. G., and Stewart, J. H., Solid State Technology, 32(8):131–135 (1989) 8. Hallock, M. F., Hammond, S. K., Kenyon, E., Smith, T. J., Smith, E. R., Appl. Occup. Environ. Hyg., 8 (11): 945–954 (1993) 9. Scarpace, L., Williams, M., Baldwin, D., Stewart, J., Lassiter, D., Results of Industrial Hygiene Sampling in Semiconductor Manufacturing Operations, Hazard Assessment and Control Technology in Semiconductor Manufacturing, American Conference of Governmental Industrial Hygienists, pp. 47–52, Lewis Publishers, Chelsea, MI (1989) 10. Knolle, W. R., Beil, K., Crawford, W. W., Organic Vapor Monitoring of Semiconductor Cleanrooms, Presented at the May 1993 American Industrial Hygiene Conference in New Orleans—Paper 344 (Unpublished) 11. Lauwerys, R. R., Industrial Chemical Exposure: Guidelines for Biological Monitoring, pp. 1–7, Biomedical Publications, Box 495, Davis, CA 95617 (1983) 12. Ratney, R. S., A Proposed Threshold Limit Value for Lead Based on Subtle Learning Deficits in Newbornes, Presented at the May 1994 American Industrial Hygiene Conference in Anaheim—Paper 183 (Unpublished) 13. Irick, T. J., McKenna, T. A., Davis, P., Ember, A. P., Air and Biological Monitoring for Glycol Ethers and other Solvents in a Semiconductor Research Facility, Presented at the May 1994 American Industrial Hygiene Conference in Anaheim—Paper 177 (Unpublished) 14. Baldwin, D. G., Rubin, J. R., Horowitz, M. R., SSA Journal, 7(1):19–21 (1993) 15. Lenihan, K. L., Sheehy, J. K., Jones, J. H., Assessment of Exposures in Gallium Arsenide Processing: A Case Study, Hazard Assessment and Control Technology in Semiconductor Manufacturing, pp. 285-294, American Conference of Governmental Industrial Hygienists, Lewis Publishers, Chelsea, MI (1989)
264 Semiconductor Safety Handbook 16. Content, R. M., Control Methods for Metal and Metalloids in III-V Materials Vapor-Phase Epitaxy, Hazard Assessment and Control Technology in Semiconductor Manufacturing, pp. 243–258, American Conference of Governmental Industrial Hygienists, Lewis Publishers, Chelsea, MI (1989) 17. Jakobsson, R., Widholm, B., Svartengren, M., Exposure and Biological Monitoring of Arsenic in Gallium Arsenide Production, presented at May 1993 American Industrial Hygiene Conference, New Orleans—Paper 149 (Unpublished) 18. Mosovsky, J. A., Rainer, D., Moses, T., Quinn, W. E., Appl. Occup. Environ. Hyg., 7(6):375–384 (1992) 19. Helb, G. K., Caffrey, R. E., Eckroth, E. T., Jarrett, Q. T., Fraust, C. L., Fulton, J. A., Solid State Technology, 24(8):185–194 (1983) 20. Baldwin, D. G., Electrochem. Soc., 85(2):449–450 (1985) 21. McCarthy, C. M., Worker Exposure during Maintenance of Ion Implanters in the Semiconductor Industry, Masters Thesis, University of Utah, Salt Lake City, UT, 1984, Summarized in Extended Abstracts, Electrochem. Soc., 85(2):448 (1985) 22. Baldwin, D. G., King, B. W., Scarpace, L. P., Solid State Technology, 31(1):99–105 (1988) 23. Flipp, N., Hunsaker, H., Herring, P., Investigation of Hydride Emissions during the Maintenance of Ion Implantation Equipment, Presented at the June 1992 American Industrial Hygiene Conference in Boston—Paper 379 (Unpublished) 24. Rainer, D., Quinn, W. E., Mosovsky, J. A., Asom, M. T., Solid State Technology, 36(6):35–40 (1993) 25. Asom, M. T., Mosovsky, J., Leibenguth, R. E., Zilko, J. L., Cadet, G., J. Cryst. Growth, 112(2–3):597–599 (1991) 26. Unpublished data from M. Williams 27. Harrison, R. J., Gallium Arsenide in: State of the Art Reviews— Occupational Medicine, The Microelectronics Industry, (J. LaDou, ed.), pp. 57, Hanley & Belfus, Inc. Philadelphia, PA (January–March 1986) 28. McIntyre, A. J., Sherin, B. J., Gallium Arsenide Hazards, Assessment, and Control, Solid State Technology, 32(9):119–126 (1989) 29. Sheehy, J. W., and Jones, J. H., Am. Ind. Hyg. Assoc. J., 54(2):61–69 (1993) 30. Moreau, W. M., Semiconductor Lithography—Princliples, Practices, and Materials, Plenum Press, 233 Spring Street, New York, NY (1988) 31. Mack, C. A., Past Business Lessons Impact Lithography, Semiconductor International, pg. 41 (January 1994) 32. Photoresist for Microlithography—An SST tabulation, Solid State Technology, 36(6):42–43 (1993)
Industrial Hygiene 265 33. Hathaway, G. L., Proctor, N. H., Hughes, J. P., and Fischman, M. L., Chemical Hazards of the Workplace, Third Ed., pp. 334–337, Van Nostrand Reinhold, New York, NY (1991) 34. Personal Communication from Donald V. Lassiter; Semiconductor Industry Association OHS Database, annual distribution of chemical burns (1982 – 1990) 35. Ohlson, J., Solid State Technology, 29(7):69–73 (1986) 36. Mueller, M. R., Kunesh, R. F., Safety and Health Implications of Dry Chemical Etchers, Hazard Assessment and Control Technology in Semiconductor Manufacturing, American Conference of Governmental Industrial Hygienists, pp. 179–201, Lewis Publishers, Chelsea, MI (1989) 37. Bauer, S., Wolff, I., Werner, N., Hoffman, P., Pol. J. Occup. Med., 5(4):299–314 (1992) 38. Bauer, S., Werner, N., Wolff, I., Damme, B., Oemus, B., Hoffman, P., Toxicol. Ind. Health, 8(6):431–444 (1992) 39. Ungers, L. J., Jones, J. H., McIntyre, A. J., and McHenry, C. R., Am. Indust. Hyg. J., 46(8):416–420 (1985) 40. Asom, M. T., Mosovsky, J., Leibenguth, R. E., Zilko, J. L., Cadet, G., J. Cryst. Growth, 112(2–3):597–599 (1991) 41. Content, R. M., Control Methods for Metal and Metalloids in III-V Materials Vapor-Phase Epitaxy, Hazard Assessment and Control Technology in Semiconductor Manufacturing, American Conference of Governmental Industrial Hygienists, pp. 243–258, Lewis Publishers, Chelsea, MI (1989) 42. Rhoades, B. J., Sands, D. G., Mattera, V. D., Safety and Environmental Control Systems Used in Chemical Vapor Deposition (CVD) Reactors at AT&T-Microelectronics—Reading, Appl. Ind. Hyg., 4(5):105–109 (1989) 43. Roychowdhury, M., Solid State Technology, 34(1):36–38 (1991) 44. Unpublished data from D. Baldwin. 45. Goh, C. L., Ng, S. K., Contact Dermatitis, 17(2):89–93 (1987) 46. EEA—The Association of the Electronics, Telecommunications and Business Equipment Industries, Guidelines on the Use of Colophony (Rosin) Solder Fluxes in the Electronics Industry, EEA, Leichester House, 8 Leicester St., London WC2H 7BN (1991) 47. Meridith S., J. Epidemiol. Community Health, 47(6):459–463 (1993) 48. American Conference of Industrial Hygienists, Draft Documentation of Threshold Limit Value, Rosin Core Solder Thermal Decomposition Products, as Resin Acids—Colophony, ACGIH, Technical Affairs Office, 6500 Glenway Ave., Bldg. D-7, Cincinnati, OH 45211-4438 (Draft dated Feb. 13, 1992, with subsequent corrections through January 1994)
266 Semiconductor Safety Handbook 49. Health & Safety Commission, Draft Approved Code of Practice—Control of Respiratory Sensitisers, Health and Safety Executive, Health Policy Division B2, Baynards House, 1 Chepstow Place, Westbourne Grove, London W2 4TF (1992) 50. Kovacs, R., An Overview of Cluster Tools—The Tool of the ‘90s, Hazard Assessment and Control Technology in Semiconductor Manufacturing II, pp. 331–342, American Conference of Governmental Industrial Hygienists, 6500 Glenway Avenue, Bldg. D-7, Cincinnati, OH 45211-4438 (1993) 51. Hablanian, M., Trends in Vacuum Pumping, Semiconductor International, pg. 42 (January 1994) 52. Stroup, K. Semiconductor International, pp. 74–765 (August 1993) 53. Kalliokoski, P., Ruokojarvi, P., and Viinanen, R., The Applicability of Continuous Area Monitoring for Exposure Evaluation in Petrochemical Industry, Presented at the May 1993 American Industrial Hygiene Conference in New Orleans—Paper 167 (Unpublished) 54. Cohen, R., and Corn, M., The Policy Implications of Time-Weighted RealTime Fixed-Location Monitoring Versus Time-Weighted Personal Sampling of Airborne Chemicals during Semiconductor Manufacturing, Presented at the May 1993 American Industrial Hygiene Conference in New Orleans—Paper 253 (Unpublished) 55. Herget, W. F., and Levine, S. P., Appl. Ind. Hyg., 1(2):110–112 (1986) 56. Rotheram, M., SSA Journal, 2:51–53, 62–64 (Sept. 1988) 57. Strang, C. R., and Levine, S. P., Am. Ind. Hyg. Assoc. J., 50(2):78–84 (1989) 58. Davidson, T. M., Niu, W., and Peacock, P., SSA Journal, 5(1):13–17 (1991) 59. Payne, S., Holewyne, L., and Salvaggio, K., Use, Operation, and Maintenance of ICAMS at IBM, Austin, Hazard Assessment and Control Technology in Semiconductor Manufacturing II, American Conference of Governmental Industrial Hygienists, Cincinnati, OH, pp. 87–95 (1993) 60. Caplan, K. J., Am. Ind. Hyg. Assoc. J., 54(2):70–75 (1993) 61. U.S. Nuclear Regulatory Commission, NUREG/CR-5849, ORAU -92/ C57, (Draft Report), NRC, Washington, DC (June 1992) 62. U.S. Code of Federal Regulations, 29 CFR Part 1910.1016, Lead and Its Compounds, US Government Printing Office, Philadelphia, PA 63. U.S. Code of Federal Regulations, 29 CFR Part 1910.1018, Inorganic Arsenic, US Government Printing Office, Philadelphia, PA 64. U.S. Code of Federal Regulations, 29 CFR Part 1910.95, Noise, US Government Printing Office, Philadelphia, PA 65. The Noise at Work Regulations, Health and Safety Executive, Library and Information Services, Westbourne Grove, London, England (1989)
Industrial Hygiene 267 66. Michael, P. L., Industrial Noise and Conservation of Hearing, in Patty’s Industrial Hygiene and Toxicology—General Principles, Vol. 1 Part A. (G. D. Clayton, and F. E. Clayton, eds.), pp. 937–1039, John Wiley & Sons, Inc., New York, NY (1991) 67. NIOSH Publications on Noise and Hearing, U.S. Department of Health and Human Services, National Institute for Occupational Health and Safety, U.S. Government Printing Office, Philadelphia, PA (July 1991) 68. Adams, R., Dermatitis in the Microelectronics Industry, State of the Art Reviews - Occupational Medicine, The Microelectronics Industry, (J. LaDou, ed.), 1(1):155–165, Hanley & Belfus, Inc. Philadelphia, PA (January–March 1986) 69. Zellers, E. T., Ke, H., Smigiel, D., Sulewski, R., Patrash, S. J., Han, M., and Zhang, G. Z., Am. Ind. Hyg. Assoc. J., (53):105–116 (1992) 70. ASTM Designation F738-85: Standard Test Method for Resistance of Protective Clothing Materials to Permeation by Hazardous Liquid Chemicals, American Society for Testing Materials, Philadelphia, PA (1985) 71. Zellers, Edward T., Glove Permeation by Dermally Absorbable Solvents Used in Semiconductor Manufacturing, Hazard Assessment and Control Technology in Semiconductor Manufacturing II, pp. 45–63, ACGIH, Cincinnati, OH (1993) 72. Chemical Regulation Reporter, Final NMP Review Finds Significant Risk of Developmental, Reproductive Effects, Bureau of National Affairs, Inc., Washington, DC, pp. 1119–1121 (September 24, 1993) 73. Zellers, E. T., and Sulewski, R., Am. Ind. Hyg. Assoc. J., (54):465–479 (1993) 74. Beaulieu, H. J., and Schmerber, K. R., Applied Occup. and Envir. Hyg., 6(10):874–880 (1991) 75. Edelman, Philip, Hydrofluoric Acid Burns, State of the Art Reviews Occupational Medicine, The Microelectronics Industry, (J. LaDou, ed.), 1(1): 89–103, Hanley & Belfus, Inc. Philadelphia, PA, (January–March 1986) 76. Raab, J. T., SSA Journal, 4(2):7–14 (May 1990) 77. American Conference of Governmental Industrial Hygienists, Guidelines for the for the Selection of Chemical Protective Clothing, ACGIH, Cincinnati, OH (1987) 78. American Industrial Hygiene Conference, Professional Development Course #9, Chemical Protective Clothing; San Francisco, CA (May 15, 1988) 79. Semiconductor Equipment and Materials International (SEMI); S2-93, Product Safety Guidelines, SEMI Headquarters, 805 E. Middlefield Road, Mountain View, CA
268 Semiconductor Safety Handbook 80. Merchant, J., et al., Occupational Respiratory Diseases, US Department of Health and Human Services, NIOSH, US Government Printing Office, Philadelphia, PA (September 1986) 81. US Code of Federal Regulations, 29 CFR 1910.134, Respiratory Protection, U.S. Government Printing Office, Philadelphia, PA 82. American National Standard Z88.2, Practices for Respiratory Protection, American National Standards Institute, New York, NY 83. Respiratory Protection—A Manual and Guideline, 2nd Edition, 1991; American Industrial Hygiene Association, Fairfax, VA (1991) 84. Corn, G., Baldwin, D. G., J. Vac. Sci. Technology, 3:909–912 (1985) 85. Strang, C. R., and Levine, S. P., Solid State Technology, 30(4):69–70 (1987) 86. U.S. Code of Federal Regulations, 29 CFR Part 1910.20 (d) (1)(ii), U.S. Government Printing Office, Philadelphia, PA 87. U.S. Code of Federal Regulations, 29 CFR Part 1910.1018 (q) (1)(iii), U.S. Government Printing Office, Philadelphia, PA 88. U.S. Code of Federal Regulations, 29 CFR Part 1910.96 (n) (1), U.S. Government Printing Office, Philadelphia, PA 89. U.S. Code of Federal Regulations, 29 CFR Part 1910.20 (h), U. S. Government Printing Office, Philadelphia, PA
Electrical Hazards 269
5 Electrical Hazards Clifford E. Oliver
1.0
INTRODUCTION
Electricity is the prevalent source of energy in semiconductor manufacturing, electronic assembly, and high technology facilities including laboratories and research and development environments. There is no one common denominator except change. The facilities range in age from new to primitive. The electrical systems may have been designed for the processes and hardware in use or may have been designed for an earlier technology and the present equipment merely occupies the same space. Many factors come together to develop the electrical environment. Electrical and electrically derived hazards evolve both in the facility electrical system and in the operating equipment. A change from a very safe operating facility to an office may be just as much a potential hazard situation as a change from an office to an operating system. New equipment and new environments are changes. All changes that contact the electrical system must be carefully considered or electrical and electrically derived hazards can develop. This chapter discusses the environments that semiconductor, electronic assembly and high technology represent and relate those environments to potential electrical and electrically derived hazards.
269
270 Semiconductor Safety Handbook An electrical hazard is the direct exposure of people and equipment to destructive electric energy. Electrical shocks, burns, arcs and flash injuries fall into this category. Exposures range from abraded insulation exposing the conductor to open electrical cabinets. An electrically derived hazard is the exposure of people and equipment to destructive energy related to the electrical system. Electrically powered mechanical and hydraulic systems, laser systems, radio frequency generators, and computer controls fall into this category. Exposures range from mechanical pump couplings to laser beams to live steam to conveyor systems.
2.0
WHERE DO WE START? Electrical accidents do not just happen! Electrical hazards do not just happen!
Electrical hazards occur when multiple levels of guarding or protection surrounding the energized electrical system are lost through action or omission. People do not plan to find electrical hazards nor do they plan to have an electrical accident. People assume that the electrical system is enclosed, and if they can be exposed, that it is de-energized.
2.1
How Do You Identify an Electrical Hazard Before Someone Gets Hurt?
Look for the electrical hazard and have a plan for dealing with the hazard or potential hazard promptly. Obvious hazards usually get fixed (if maintenance people know the exposed wire, frayed cord or burned plug exists). For many reasons, injury-producing hazards are neither recognized nor repaired. The average person really does not know what an electrical ground is and would not recognize a ground isolation hazard. Someone might respond to a small electrical shock, but unless they know the importance of reporting the shock, it might persist until a serious shock or system failure occurs. A blinking electrical light might be a source of annoyance, but will rarely be fixed promptly because the annoyed person does not want others to think they complain. For many reasons people will not
Electrical Hazards 271 acknowledge a potential electrical hazard even if they have been shocked or burned. The serious fire or smoke-filled room stopping work for three people and their associated loss of production would pay for any repairs, but before the incident none of the occupants wanted to report the burned, acrid odor because there was not an obvious source. They did not wish to appear to be complainers nor did they wish to be wrong since there was no obvious source. Real electrical hazards and accidents do not just happen. Electrical systems and equipment are routinely designed with multiple levels of protection. These include wire insulation, covers, access doors, and connection point barriers such as a nonconductive guard plate. A diffusion furnace will have multiple leads going to multiple elements. The leads are contained in high temperature insulation. They are routed through grounded, metal channels. The connection points may be open but to access these points, a panel or door must be opened. Multiple levels of guarding or protection will be breached to contact an energized connection. Similarly, the person using a meter to determine the electrical balance on a ventilation motor has opened a panel that has a running interlock. This interlock prevents access without conscious action and prevents opening the panel door without defeating the running interlock or turning off the electrical switch. The levels of protection include the power switch for the panel, the running interlock and the electrical ground connected to the panel case that prevents the case from carrying a hazardous voltage. Days, weeks and, sometimes, months before the system failure, the electrical fire or the serious electrical shock injury, there are warning signs that the potential for the failure or injury exists. A dangling or cut electrical ground wire might forecast the loss of the emergency electrical current return path which in one case resulted in an arcing fault, several thousand dollars in repair and rebuilding, a facility shut down for a month and a serious electrical injury potential. A poorly performed job might be foreseen from a poorly trained technician, but in one case, this resulted in a safety ground being placed on the energized conductors of the local electrical utility and serious burn and flash injuries to the technician. Electrical hazards and accidents do not just happen. Many factors come together to permit the accident. In all cases these factors are far more than following or not following safety rules. Anyone that investigates an electrical accident and decides that the cause of the accident was solely the failure of the injured person to follow safety rules has not looked at the real, underlying conditions preceding the accident.
272 Semiconductor Safety Handbook 3.0 TERMINOLOGY Before going any further, it is important that a few basic terms are defined. These are not in any particular order, but they are terms that might be used to evaluate electrical hazards and, more important, develop effective hazard management plans. These definitions may not be the technical definitions some readers expect; rather, these definitions are associated with the accident potential. • Voltage measured in volts represents the opportunity for an electrical accident. Voltage may be thought of as the pressure or force that moves the electric energy. There is no absolute value of voltage that represents a hazard. Serious injuries have occurred with as little as 30 volts. Increasing voltage increases injury opportunity! • High voltage is equated to DANGER — Clearance between people and the exposed, energized hardware must be greater — The current path may not depend on metallic, electrical conductors. High voltage can produce current flow over surface contamination — High voltage increases the accident opportunity — Skin puncture is probable due solely to the electric energy. This results in a lower body resistance. Current flow above two amperes will often occur in a high voltage electrical shock. Warning signs that a high voltage hazard exists are necessary. The National Electrical Code (paragraph 110-34c) requires high voltage warnings for any voltage exceeding 600 volts, nominal. • Hazardous voltage is any dangerous voltage level 600 volts or less • Lethal voltage is simply any voltage that can produce sufficient current flow to kill. This term is used incorrectly to imply a high voltage. Lethal voltages have been recorded as low as 30 volts. Lethal current would be a more accurate term since current flow is the threat.
Electrical Hazards 273 • Current measured in amperes or amps represents one source of electrical injury. Current may be thought of as the material or flow that is moved by the voltage ‘pressure.’ Current flow greater than 9/1000’s of an ampere (0.009 amp or 9 milliamps) may be hazardous. This quantity of current is 1/2222 of the setting of a typical 20 ampere circuit breaker. Increasing current increases injury! • Electrical resistance measured in ohms may be thought of as the means of blocking or stopping electric current flow. Resistance may provide the protection that prevents electrical injury or mitigates an electrical hazard. Skin provides most of the human body’s electrical resistance. The body’s electrical resistance is not a fixed value. Studies indicate that this value changes with both the applied voltage and the pathway through the body: — at 100 volts, one nominal value of hand-to-hand electrical resistance is 2000 ohms — between 300 and 400 volts, hand to hand electrical resistance can fall to as little as 500 ohms • Ohm’s Law relates voltage to electric current (for direct current, DC, and nominally for lower frequencies, less than 1000 hertz). Ohm’s Law states that electric current equals voltage divided by electrical resistance. amps (I) = volts (E) / ohms (R), or simply, I = E/R Knowing the voltage output of a piece of equipment, using an appropriate (i.e., conservative) value of body electrical resistance (e.g., 500 ohms) and applying Ohm’s Law can provide an estimate of the current that may enter the body, if the equipment can deliver this calculated current at the conditions being considered. Not all equipment, even when operating in excess of 1000 volts, can deliver sufficient current to cause an injury.
274 Semiconductor Safety Handbook • Electrical grounding means to bring something to approximately zero volts or earth potential by connecting to an earth ground path. A grounded system may not be at zero volts, but relative to the power system and earth ground there is essentially no voltage differential when the ground system is properly designed and installed. Grounding any energized, electrical wiring or hardware may be dangerous due to the possibility of arcing and hazardous electric current flows. Electrical ground systems must be designed and not merely installed. Sources of current into the ground system and impressed operating frequencies must be considered. In addition, ground systems must meet National Electrical Code requirements and all regulation. It is important to note that merely meeting codes and regulations does not make an electrical ground system effective and safe. • Frequency is measured in hertz, cycles per second. This is the number of times each second that the electric current/ voltage changes direction. Some common frequency values associated with electrical systems are: — Direct current (DC) does not change direction — Sixty (60) hertz electric power changes direction 60 times each second. Sixty hertz is the common distribution frequency for electricity in the United States. — Four Hundred (400) hertz electric power changes direction 400 times each second. Four hundred hertz is generated for specialized applications such as some instrumentation and computer systems. — Radio frequency (RF) is electromagnetic energy roughly over the frequency range 10,000 hertz (10 kilohertz) to 100,000,000,000 hertz (100 gigahertz)
4.0
HUMAN EFFECTS
The usual starting place for any discussion of electrical hazards is what may happen to the person who contacts the energized, electrical
Electrical Hazards 275 component. The only implied menace is that the electrical contact will cause death. This does not seem to be the deterrent that many safety practitioners would like. People have grown up with electricity and the risk. Although many people have had an electrical shock, few people have been injured or even seen an electrical injury. They know that electricity can cause death, but they are not really concerned with that because it has not happened to anyone with whom they have associated. Regrettably, the average person exposed to an electrical hazard has far more respect for the injury potential of the automobile because they can relate to that as a source of injury. To get the attention of the people in your organization who are exposed to electrical hazards or who you want to have a greater awareness, there must be examples to which they can relate. Some trainers like to use graphic pictures of electrical injuries for the shock potential; others would rather use interactive discussion of accidents that co-workers have experienced. The reality of explaining the human effect of electrical shock and burn is probably a composite of showing what may happen and encouraging discussion of electrical hazards and electrical hazard management. It is probably important to emphasize all of the other potential results of electrical contact and state that death is, conceivably, a worst case. From the literature on electrical shock the following is a list of electrical contact results.
Table 1. Electric Current Effects
Nominal Electric Current
Physiological Phenomena
less than 1 mA
None. Current flow below threshold of perception.
1 mA
Perception threshold, nominally.
1–3 mA
Mild sensation.
3–10 mA
Pain.
10 mA
‘No-Let-Go’. Paralysis threshold for arms and hands. Cannot release grip.
30 mA
Respiratory paralysis threshold. Breathing stops. Fatal if not treated promptly. (Cont'd next page)
276 Semiconductor Safety Handbook Table 1. (Cont'd)
Nominal Electric Current
Physiological Phenomena
75 mA
Ventricular fibrillation threshold. Heart action is not coordinated. Heart does not pump blood.
250 mA
Ventricular fibrillation is almost certain.
2–4 amperes
Heart paralysis. Heart stops during current passage and generally restarts normally on current interruption.
NOTES:
• Unless otherwise stated, the electric current values are at 60 hertz for a 150 pound person. • mA is milliampere, 1/1000 ampere.
Table 2. Other Physiological Phenomena
Phenomena
Comment
Loss of memory
Memory loss. More recent memories are often lost first.
Burns
Electrical burns can be direct thermal burns due to current flow, flash burns from arc flash, and RF burns from absorption of RF energy.
Loss of vision
Vision loss from thermal energy and arc flash.
Nerve/organ damage
Loss of nerve function and damage to internal organs from current flow.
Death Secondary effects
• • •
Falls. These frequently result from a surprise. Thrown tool. Unexpected muscular contraction can propel a tool with sufficient force to ‘bury a screw driver in a sheet rock wall’. Skeletal fractures and dislocations result from the violence of muscular contractions.
Electrical Hazards 277 Each of the results of an electrical contact has an electric current and voltage associated with it. The values that could be stated are not absolutes. The hazard of an electrical contact is related to the circumstances of the specific situation. A serious hazard can develop at current and voltages well below those that would be expected to result in injury. The severity of the contact depends on a number of physiological factors and the specific circumstances of the contact. The following electrical injury severity factors should be considered whenever the significance or potential significance of an electrical contact is assessed.
Table 3. Electrical Injury Severity Factors
Factor
Comment
Physical size
Larger size person requires more current for the same injury as a smaller person.
Physical condition
Good physical condition helps by increasing, slightly, required current for a similar injury and improving recovery potential.
Current magnitude
The greater the current flow, the greater the potential injury. Even small currents, less than 0.05 ampere, can cause serious injury.
Current pathway
Path through head or body trunk provides possibility of more severe injury. Death is not the only serious result.
Duration
The longer the electric current flows, the greater the injury ‘up to and including death.’
Frequency
The body’s maximum response to electric current is at approxi mately 50 to 60 hertz. Pain response with direct current is 40% the response at 50 to 60 hertz. Pain response with RF is essentially zero until a burn injury is present.
NOTES:
• low voltage injuries occur more often at home • emergency rooms do not always know how to treat electrical injuries
278 Semiconductor Safety Handbook 5.0
SCENARIO OF AN ELECTRICAL SHOCK
Electrical safety training usually includes a module on emergency response. This is probably important, but to insure that any emergency response training has value requires practice and reinforcement. The following is a typical emergency response outline for an electrical accident with some annotation.
Develop Emergency Procedures for Electrical Accidents
For your organization’s and location’s specific procedures, review your own Safety Instructions. REMEMBER: no one really knows what they will do in an emergency, unless the response is drilled and practiced.
Emergency Procedure for Electrical Accidents • PAUSE and evaluate the situation. — DO NOT BECOME THE SECOND VICTIM ! • CALL / SHOUT FOR HELP (during evaluation pause). • Shut off the electric power—if at all possible. — MAKE SURE IT IS REALLY OFF ! — IF power cannot be shut off, USE A NONCONDUCTIVE MATERIAL to move the victim from the wires or energized surface, or to move the energized items from the victim. • Remove the victim from live contact as quickly as possible. — The longer the connection, the greater the injury up to and including ‘dead.’
Electrical Hazards 279 • If you have the training, begin cardiopulmonary resuscitation (CPR) or first aid, as appropriate and as necessary. — Do not attempt to move the victim unless they are in a life threatening position or location. • IF NO HELP HAS ARRIVED—CALL FOR HELP ! ONE of the following methods may work.
6.0
•
Use your location’s emergency number, or dial 911, the emergency telephone number.
•
Use your emergency radio net call, or MAYDAY MAYDAY MAYDAY on your radio system.
•
Pull a fire alarm where available and operable. This will not get an ambulance, but may get attention.
WHAT REALLY HAPPENS?
Electrical accidents are all different, but the scenario frequently includes the following ideas. People care about others and want to help someone who is hurt. They like the people with whom they work and do not want to see them injured. However, most people are not trained and drilled in emergency response, especially response to an electrical accident. They may, and frequently do, have a good understanding of the equipment involved. They may even know how to shut-off the system either because they operate the system or have watched the equipment turn-on/off procedures. But, something happens when you are watching a friend, associate, or co-worker bouncing between metallic objects with sparks coming from their hair (real description of a real accident). Time seems to slowdown or stretch. You know there is an electrical accident in progress. You believe you must do something to stop it. With training and thorough drilling in
280 Semiconductor Safety Handbook emergency response, a person might remember to pull out the wall plug that will disconnect the high voltage autotransformer or throw the switch. Unfortunately, most people are not trained and drilled and they respond at an emotional level. They look at their co-worker, give some consideration to their environment (e.g., standing on carpeting, wearing tennis shoes) and feel pressure to respond. The result is that they reach out with bare hands, grab the co-worker who is receiving the shock and try to pull them away from the electrical contact. If they are lucky, it works. If they are unlucky, there are two electrical shock victims. The latter may also happen when a co-worker is found lying on a floor or draped over a piece of equipment. The first thought is to find out what is wrong. They touch the person in contact with the electrical source. Touching the injured person may be excellent for any injury other than an electrical shock. Where the system is still energized, touching the injured person lying on energized cables or on an energized surface only may produce a second victim.
7.0
REPORTING
Given the scope of hazards present in semiconductor manufacturing, electronic assembly, and high technology facilities including laboratories and research and development environments, the number of reported electrical contacts and injuries warrants some consideration. How many electrical accidents do you know about? How many electrical contacts that resulted in no injury do you know about? Typically the actual number of potentially dangerous electrical contacts is higher than the injury data implies. One laboratory performed a questionnaire study of electrical safety practices with interview follow-up. The 3000 person population studied had a direct exposure to a broad spectrum of electrical hazards. The response data from the questionnaire represented a significant percentage of the population. A simple reduction of the response data indicated that four painful electrical shocks occurred daily in that population. Only a handful of shocks actually were reported each year.
Electrical Hazards 281 To accurately understand the electrical hazards in your facility, it is necessary to both know the facility well and to have some understanding of the individual’s response to an electrical contact (i.e., know your people. What will they do?). Merely asking or telling your organization to report electrical shocks is not sufficient and in fact, does not work. People want to know what will happen if they do report an electrical shock. Will it cause them problems? Will it improve anything? Will they be punished? Reporting electrical shocks as with reporting any accident must have positive consequences or it will not happen. In other words, your people must believe that they will benefit from each report. YOUR actions, not your words, are important. • Would you report an electrical shock if you knew your supervisor would dismiss the shock as a ‘stupid’ act? • Would you report an electrical shock if it occurred while you were being forced to troubleshoot a refrigeration compressor in the rain? • Would you report an electrical shock if you would receive a reprimand and 10 days off without pay for working on the energized system? Situations like those above impair accident/incident reporting systems, but they do occur. If you want to know about your electrical shocks, go out and find out what is really happening. Work with the people who are exposed to the electrical hazards. What are the implied safety attitudes? What is disliked about the existing reporting system? Fix it and fix it again. Once you have a system that captures, accurately, over 30% of your real electrical contacts (i.e., near misses, incidents and accidents) you may be able to develop a system for fixing the causes. Preventive safety, not data collection and report writing, must be the reason for a safety reporting system.
282 Semiconductor Safety Handbook 8.0
COMMON ELEMENTS OF ELECTRICAL ACCIDENTS/ ACCIDENT INVESTIGATION
Electrical shocks and injuries do not just happen. For a person to have an electrical shock, a number of factors must come together to create an opening in the energized electrical system and concurrently, to provide the opportunity for the person to contact the exposed system component. Investigation of numerous electrical accidents and discussion with people who have had potentially serious electrical contacts developed the tabulation of common elements of electrical accidents. This list may not include every contributing factor or common element of electrical accidents, but the factors listed are those most often encountered. Usually only a few common elements will be contributing factors in any given accident.
Table 4. Common Elements of Electrical Accidents
• • • • • • • • • • • • • • •
Maintenance and troubleshooting Poor or no power-on indication Design changes or modifications New equipment Poor or no reliable documentation Lack of an experienced observer, ‘hot’ work Key people absent or unavailable Rush or stress Eagerness or over-zealousness Distraction/loss of attention System experienced people Poor or no formal, hazard management plan Inadequate or no training People unaware of policies and applicable standards People ignored or exempted from policies and standards. Lack of real safety emphasis
Electrical Hazards 283 This list of common elements consciously and conspicuously omits the ‘did not follow safety rules’ excuse that is seen in some accident reports because this excuse is not the real accident cause. If someone did not follow safety rules, there are underlying reasons. The critical point in accident investigation is why the safety rules were not followed. The common elements can provide a framework for considering the causes of an electrical shock, injury or contact, and provide a starting point for removing the causes and preventing future electrical accidents. Each common element warrants some discussion and explanation. • Maintenance and troubleshooting. This common element is a factor in accidents because maintenance and troubleshooting provide an opening into the electrical system. This is a time when small judgement errors can quickly become an injury. • Poor or no power-on indication. A visible or audible indicator that the electrical power is either on or off removes an assumption, “I turned the switch for that system off so I know it is safe.” A switch is not a reliable, visible or audible indicator that the power is really off. A verifiable meter used by a knowledgeable person, dual lights that both go on and off or change color, and a tested alarm tied to voltage measurement may be positive power-on indicators. Whenever it is difficult to tell whether a system is on or off, a visible/ audible indicator is essential. Even devices that have an obvious active mode such as a liquid chemical mixer may have an idle mode where they are still energized but show no mechanical motion. A rule-of-thumb is that all equipment and systems should have reliable, visible/audible power-on indication. The indication should exist for each independent system and for each voltage source (many systems that use high voltages can have hazardous voltage on components even when the high voltage is off). Any hazardous power source that may be accessed from more than one point should have power-on indication at each accessible location. • Design changes or modifications. Change is a fact in semiconductor manufacturing, electronic assembly, and high technology facilities including laboratories and research and development environments. Sometimes these changes may
284 Semiconductor Safety Handbook not even seem related to electrical control or power systems. A simple design change or modification may place hazardous electrical energy on a component or at a location that had no electrical power prior to the change. A cooling water system for a direct current power source was modified. The piping was part of the electrical grounding system and also carried a high voltage in a shielded container. The cooling water piping change moved the location of an electrical isolator fitting. This change brought the energized section of the system outside the existing guarding. A technician received a severe shock when two parts of the modified system were touched. Each design change and modification must be given a thorough review before and after implementation. • New equipment. This is a special case of design change and modification. Each new system or new piece of equipment represents a new environment and changes to any associated existing systems. Each new system or equipment item must receive a thorough electrical safety assessment both before purchase and after installation. • Poor or no reliable documentation. Any task which needs documentation support including design drawings is more hazardous without the appropriate references. A person may try to make one thing work and inadvertently energize something else. Poor or no documentation may cause operating, maintenance, safety and engineering personnel to make incorrect decisions. With complex electrical systems such as ion implanters, electron sputtering and photographic processing systems, troubleshooting without good documentation has produced electrical shocks. Every effort should be made to secure documentation from the manufacturer or, if necessary, develop documentation appropriate for the intended tasks. Older equipment, used systems and developmental equipment may have no documentation available because it has been lost or never existed. Systems manufactured outside the United States of America, especially older foreign systems, may have poor documentation.
Electrical Hazards 285 Working copies of documentation should be made and placed in known, accessible locations. Permanent documentation is frequently locked for safe keeping. Inaccessible documentation, no matter how good, is equivalent to no documentation. Documentation should be a significant part of purchasing any equipment. Purchase requests should always include documentation requirements. Documentation is necessary for installation, operation, maintenance, troubleshooting, safety assessments and decommissioning. • Lack of an experienced observer for hot-work. Please realize that work on energized equipment (i.e., hot-work) is necessary. Adjustment, tests, alignment, troubleshooting and similar operations require varying degrees of work on energized hardware. Frequently, there is no alternative to hot-work. An experienced, knowledgeable person following the work and serving as a consulting observer who can provide assistance in any emergency is the essential safeguard. In any hot-work situation, an uninvolved, knowledgeable observer provides someone who can double-check all safety precautions and who can shut the system down safely in an emergency. Sometimes this backup person’s importance is inadequately emphasized. One organization allowed hotwork as long as there was someone in the area. This occasionally was the janitorial people who had little appreciation for the system hazards and no system shut down knowledge. • Key people absent or unavailable. Accidents and electrical shocks have occurred because people who know idiosyncrasies of a system (i.e., key people) are not present. The people doing the work have general backgrounds and may be quite experienced, but they are not aware of recent modifications, undocumented changes and special installations. • Rush or stress. Both rush and stress may create an opportunity for an electrical accident due to things that are done and things that are left undone. People in a hurry make mistakes and omissions. People under stress may perform in a similar fashion. Rush comes from implied, as well as direct,
286 Semiconductor Safety Handbook statements to speed things up. Stress may be a direct factor in the job, implied by the job environment or self-imposed. Rush can produce stress. • Eagerness or over-zealousness. Unlike rush and stress eagerness and over-zealousness are associated with wanting to do the job. Unfortunately the eager person will go ahead and act without others even being aware that they are intending to work. In one case this contributed to a person receiving a serious electrical shock on a developmental system. The individual thought he could help by pointing out what he believed was the problem before the system was certifiably de-energized. The shock went from his pointing finger, through the body and out the sole of his rubber tennis shoe. • Distraction/loss of attention. This common element of many electrical shocks occurs when there are other demands on the concentration of the person doing the work. In one case the person was reaching past a plate charged to 9000 volts by a power supply that could produce 800 milliamperes of electrical current. The distraction was an ice cream break. The common elements associated with this injury included all those listed, but distraction broke the person’s concentration during troubleshooting. • System experienced people. Experienced people are a common element in electrical shocks. This may occur because experienced people have learned what they can or may be able to do to speed up a task. In other words they may take shortcuts that involve some hazard. However, there is another probable reason for experienced people being a common element in electrical shocks. Experienced people are given a greater opportunity for the injury. They are the ones that are called, especially for difficult jobs. Experienced people are often exposed to the greater hazard. • Poor or no formal, hazard management plan. This common element may raise some eyebrows, but lack of a hazard management or mitigation plan is a contributing factor to many serious accidents. Usually this means is that the system or equipment was not given a thorough hazard analysis prior to performing the task that resulted in the injury. A hazard
Electrical Hazards 287 analysis will point out where many problems exist and may provide an indication of ways to manage the hazards. A hazard management plan takes the hazard analysis results plus the anticipated operating modes of the equipment and details plans for safely performing a broad range of tasks. A hazard management plan is not necessarily a step-by-step procedure, rather it is an outline and a training tool that addresses each hazard. • Inadequate or no training. Training on and understanding of the system or equipment are related. Training must be appropriate for the tasks. For hazardous electrical systems it important that the individual appreciates the injuries that may occur and those actions that may cause injury. It is not sufficient to train the person on the tasks. Training should overlap where systems overlap. For example, a person who works with digital electronics may believe they have little hazard exposure; however, that same person working around ion beams and high current electromagnets must have some appreciation for and training on those systems, as well as the control electronics, to work safely. • People unaware of policies and applicable standards. This may be a training issue, but because of its scope, it is listed separately. People working with and around electrical systems and equipment must understand the legal and organizational policies that control the work. When this is not understood, poor practices and hazardous installations persist. In addition, technical and craft people need an up-to-date, working knowledge of applicable laws, codes and consensus standards. A few are listed in the references at the end of this manual section. • People ignored or exempted from policies and standards. Lack of real safety emphasis. This might sound like the ‘did not follow safety rules’ excuse, but this is intended to cover the deeper problems associated with poor safety emphasis. In this case, the ‘did not follow safety rules’ excuse would not be written into an accident report. People know there are policies and standards associated with electrical systems, but because of management actions, they believe their work is
288 Semiconductor Safety Handbook exempt from the policies and standards where certain development or production activities are involved (e.g., being ordered to work on an energized refrigeration compressor in the rain).
9.0
ELECTRICAL HAZARD MANAGEMENT
Few tasks have no associated risk. Electrical hazard management is a process for understanding the risks associated with a system and developing strategies for mitigating the risks, where appropriate. Job safety analysis, Management Oversight and Risk Tree and Systems Safety are related processes and terms. Electrical hazard management is intended to prevent electrical incidents and accidents. Included are hazard analysis, inspection, evaluation and hazard based safety planning. Knowing the common elements that contribute to electrical shock and lockout/tagout are parts of this process. Lockout/Tagout is discussed separately. Preventive hazard management is first actions and then words. People respond the way they believe they will be judged, and an effective electrical hazard management program will be consistent. Written policies and procedures should reinforce actions. Hazard analysis and evaluation is one part of the hazard management process. The objective is that through design review and inspection, the electrical hazards are considered and a strategy for mitigating these hazards, when appropriate, is developed. It is important that the real, underlying factors are considered. Underlying factors for electrical hazards might include: • The people involved, their system knowledge and their training • The hardware/system, its guarding, its controls and emergency features • The working environment, the physical environment and the attitudes toward safety issues • Interactions between each of these factors including — People to people — People and the environment — The environment and the hardware/system
Electrical Hazards 289 — People and the hardware/system — Hardware/system interactions with itself In addition, the operating mode(s) must be considered and its (their) impact on the electrical hazard(s). It is possible that a system may have multiple operating modes for various components. The operating mode changes the hazards and the exposure of people to the hazards. At least the following modes should be considered: • Normal operation, often the least hazardous • Installation and testing • Start-up and initial operation • Maintenance, both routine and after failure • Modification, upgrade or retrofit • System or component failure: what happened and what are the consequences? • Equipment and system removal or demolition The evaluation of electrical hazards must include a thorough understanding of the hardware/system, the underlying factors of its usage and the possible modes of operation at the time of evaluation. Ignoring any one of these assessments can result in the improper identification of an electrical hazard. For example, the capacitor bank of an ion implanter is totally enclosed and shielded during normal operation, but a misunderstanding between a maintenance person and an operator might leave the bank leads exposed providing an opportunity for an operator working in a small physical space to receive a shock.
10.0 TYPICAL ELECTRICAL HAZARDS Awareness and management of electrical hazards begins with an idea of what constitutes an electrical hazard. Generally, this means anything that compromises one or more of the multiple levels of protection designed into the electrical system. Some electrical hazards are easily recognized. Others require inspection by knowledgeable people who are specifically looking for the electrical hazard. The following section tabulates typical hazards that may be found by knowledgeable electrical safety inspection.
290 Semiconductor Safety Handbook 10.1 Housekeeping Considerations • Flammable or combustible materials must be isolated from electrical equipment. • Electrical equipment should be in a good state of repair. • The quantity of equipment plugged into one electrical power source (e.g., circuit, outlet) should be questioned. • Fume hoods should not have quantities of flammable materials combined with spark producing electrical devices including thermostatically controlled equipment and multiple-outlet electrical strips. • Disconnected or broken electrical ground wires can be a hazard source and should be promptly repaired. 10.2 General General conditions should be inspected. Electronic/electrical equipment should be clean, enclosed, clearly marked, thoroughly labeled, shielded, grounded, suitable for the environment, corrosion-free, accessible, and operating within allowed parameters. 10.3 Installation Inspection should review the quality of an installation. • Neatness counts. Electronic/electrical installations should he orderly, with equipment properly installed and supported. • Personal protection instrumentation should be clearly marked, accessible, and easily tested. • Wiring should be installed in conduit, cable tray or wireway and not strung across light fixtures or pipes. • Wiring should be neatly arranged using terminal blocks and connectors. Wiring should not merely be twisted and taped. • Inadvertent energization should be controlled by positiveacting switches with good visual ON and OFF indicators.
Electrical Hazards 291 10.4 Guarding Guarding for electronic and electrical hardware systems should be inspected for exposed, energized (energizeable) surfaces and protection planning. • Corrosion can remove guarding or ground protection. • Maintenance is a special case where guards may or may not be present. Circumstances may dictate closing an area rather than putting up guards during maintenance. Guards may protect the public, but by restricting movement, they could increase hazards to maintenance technicians and electrical workers. 10.5 Safety Signs Electrical safety signs should be used to clearly warn people of hazards associated with the systems, facilities and equipment. • High voltage signs should be used for anything that exceeds 600 volts. • The meaning of signs should raise no questions. For example, “DANGER HIGH VOLTAGE” or “DANGER ELECTRICAL HAZARD” should be used with “DO NOT ENTER”, “KEEP OUT AUTHORIZED PERSONNEL ONLY” or another appropriate command so that people know what they should do. • When the source of the electrical hazard is removed, the warning signs should also be removed. 10.6 Labeling Circuit labeling should be evaluated. • Is it adequate? • Does it really track the connections? • Is it inadequate or nonexistent where it should exist? • Is it legible?
292 Semiconductor Safety Handbook 10.7 Clearance Working and access clearance is necessary around electronic and electrical equipment including power panels. The National Electrical Code should be consulted. • People should be able to easily reach the electrical panels associated with their equipment. NO panel blockage should get in the way. • Combustible storage should not exist in front of, or around, electrical equipment of any type. • Working and access clearance should be evaluated during electrical safety inspections. Maintenance clearance may need to be greater and a means of providing this clearance and working access must be considered. 10.8 Switches Do you know how people operate electrical power switches for electronic/electrical equipment? This may be hard to assess during a safety inspection, but it is something to consider. • Switches should be operated ONLY from the side. • People should not reach across the access door; rather, they should stand to the side of the switch handle. 10.9 Manuals Operators must have up-to-date manuals. • During an inspection, an operator’s knowledge of equipment, facilities and systems should be assessed. • Does everyone who must use the equipment or facility have the same knowledge level, or are they relying on one or two people? • Access to up-to-date information must exist. Do the people who use the facility, equipment, or system know where the manuals are and do they have ready access to them if they need to use them?
Electrical Hazards 293 10.10 Water, Electricity, and Ground • Fuses and circuit breakers do not protect people from electrical shock. They protect equipment from overloads. • Ground Fault Circuit Interrupters (i.e., GFCI or GFI) may protect people. • GFCIs should be used for all electrical circuits in photo labs, by sinks, and where people, electrical grounds, and electrical equipment coexist such as fume hoods, outside work, and locations with large metallic surfaces. NOTE: These are not necessarily Code requirements. They are good practice. 10. 11 Extension Cords and Power Strips Extension cords and multiple outlet electrical power strips can be dangerous. • Frayed and damaged electrical cords are a potential hazard. • Consider the location of any electrical cords: — Are they guarded from mechanical damage or can people walk on them and vehicles/carts run over them? — Are they exposed to a corrosive or flammable environment? — Are they compatible with the classification of the area where they are used or pass through? • Are they compatible with the amount of electrical power required? — Is the electrical current load greater than 80% of the cord rating? This can create overheating and be hazardous. • Where are they plugged in? Can the circuit breaker or disconnect be easily found? • Are there several cords in series where only one should be run (e.g., 4, 10-ft. cords to go 40 ft. instead of 1, 50-ft. cord)?
294 Semiconductor Safety Handbook 10.12 Electrical Plugs: 2- vs. 3-Prong 120-Volt • Three prong plugs are used for many 120/130 volt, 15/20 ampere, 60 hertz (cycles per second) applications. • The large, rounded prong provides an electrical ground return path for the electrical energy so that if the equipment fails the energy will go through the ground instead of the person using the equipment. • Double insulated equipment will be marked and may have a square symbol on the body or handle. It is normally equipped with a 2-pronged electrical plug. • Some small appliances will only have 2-pronged plugs. One blade will be broader than the other. This is a polarized plug and should be used ONLY with polarized outlets. Part of the safety design is assuring that the narrower blade is always connected to the energized wire. • 120/130 volt electrical outlets should be tested for proper connection. Simple, inexpensive testers are available for this purpose. GFCIs/GFIs should also be tested for proper connection and for tripping. Anything other than a correct wiring indication should have the circuit immediately deenergized and repairs initiated. 10.13 Circuit Breakers and Breaker Panel Boxes • Directory should be current for the present usage of the facility. This should be evaluated during a safety inspection. • The door that provides access to the breaker switch operating handles should be unlocked. People should have unobstructed access to the circuit breaker switch operating handles so that power may be shut-off in an emergency. • The outer door or panel covers the wiring connections and should be bolted to the box or locked to prevent easy access. Any outer door that is not bolted and can not be locked should be modified by maintenance so that it is bolted or screwed closed.
Electrical Hazards 295 • Circuit breaker usage should be considered. If a breaker is being used as an on/off switch, this is potentially hazardous. Circuit breakers generally are intended for emergency interruption of current flow. Use as an on/off switch may damage the breaker’s interruption ability. An on/off switch should be separately provided. 10.14 Interlocks Does equipment have interlocks that either shut down the electrical power or keep people out when the electrical power is on? These should be evaluated as part of the electrical safety inspection. • Do they work properly? • Do they do what the operators expect? • Does everyone know what is still energized after the interlock trips? • Are the interlocks evaluated regularly, especially after modifications and changes? Is a log maintained? 10.15 Hazardous Locations • Both corrosive and flammable/ignitable environments should be considered with respect to electrical equipment and systems. • Corrosive atmospheres can damage or alter circuits and endanger people when equipment fails to operate correctly. — Sealed and purged or gasketed, corrosion resistant enclosures should be considered. — Corrosion resistant enclosure materials may include plastics and special metals (e.g., Monel). — Purge a sealed enclosure with a clean dry gas such as nitrogen. • Flammable atmospheres can result in fires or explosions from overloads, insulation failures, and contact arcs. — Electrical hardware should be Underwriter’s Laboratory (i.e., UL) listed for the environment, flammable gas, dust, or flyings.
296 Semiconductor Safety Handbook — Explosion-proof hardware, metal-to-metal faces or threads, for continuous exposures (National Electrical Code Class I-Groups A, B, C, D; Class II-Groups E, F, G; Class III; Division 1). — Dust-tight or vapor-tight hardware, gasketed, for exclusion of occasional or intermittent exposures. (National Electrical Code Class I-Groups A, B, C, D; Class II-Groups E, F, G; Class III; Division 2). — Equipment and wiring listed as intrinsically safe may be used in all environments. • Seal/isolation devices between environment boundaries must be correctly sealed/filled. This should be verified. • As a footnote, explosion-proof hardware has nothing to do with the safety of materials classed as explosives except where flammable gas, dust or flyings exist. 10.16 Fire Extinguishers Fire extinguisher applications should be assessed. • They should be compatible with the equipment in the area. • The more common three extinguisher types are: — “A” in a triangle is for fires of ordinary combustible materials including trash, wood, paper and cloth. — “B” in a square is for fires of flammable liquids, oils, greases, tars, lacquers, and flammable gases. — “C’’ in a circle is for fires which involve energized electrical equipment where the electrical conductivity of the extinguishing media is important. • For electronic and electrical systems and equipment, type “A” and “B” extinguishers should not be used. — Liquid extinguishers can be hazardous and should be removed from areas with quantities of electronic/ electrical equipment.
Electrical Hazards 297 — Dry powder chemical extinguishers, although rated for “C” applications, are not the best choice due to the potential aftereffects and clean-up requirements. • Occasionally, sprinklers will activate around electrical equipment. Prompt dry-out and clean-up should be done to prevent extensive equipment damage.
11.0 HAZARD-BASED, SAFETY PLANNING A safety plan provides a means of realistically dealing with electrical system hazards. It means taking the time to consider the task and the activities involved, but it also means taking an active interest in one’s personal safety. The following Safety Planning steps are recommended: • Determine the activities involved • Determine the magnitude and the impact of the hazard(s) • Decide who is responsible for the activities, who is responsible for controlling the hazard(s), and who is responsible for following the safety plan for the activities • Consider the potential for emergency situations and what will need to be done if an emergency arises • Establish essential safety procedures • Evaluate the activities for all realistic, operational modes Each safety plan defines the activities, the hazards and the procedures that will be followed (i.e., to preclude an accident or incident). Simple activities may not need a written safety plan, but the people involved should be encouraged to use and implement safety planning steps for their personal safety. Simple activities without written plans should involve some discussion of the hazard(s) that includes how the work will be performed to avoid the hazard(s). Complex activities with potentially high consequence warrant a written safety plan that is broad enough to cover the expected tasks and specific enough to allow peer review. Written safety plans should be used for training and a guide to manage the hazard(s).
298 Semiconductor Safety Handbook 12.0 LOCKOUT/TAGOUT Lockout/tagout is a means of controlling the exposure of people to unguarded energy sources. Frequently, these unguarded energy sources are an electrical hazard. 12.1 OSHA OSHA 1910.147, “The Control of Hazardous Energy (Lockout/ Tagout),” requires a written policy defining the methods that will be followed to protect against the accidental energization of an electrical circuit while work is being performed. This policy must also consider OSHA paragraph 1910.333 requirements. The policy should require that lockout/ tagout procedures be rigorously observed, state penalties for violations, and stipulate the training that will be implemented. The one-lock/one-key policy is recommended. Each person performing work on the system applies a padlock for each shut-off point. The padlocks have no spare keys and they are not master-keyed. The policy should also require that: • Locks must be distinctive and • Specific procedures for cutting-off a lock exist and will be followed. — The ultimate master key is a bolt cutter or torch. 12.2 Procedures In addition to the Lockout/Tagout Policy statement, specific, written lockout/tagout procedures must exist. These procedures should not be complicated. The intent is a document that can easily be followed and assures the system is safely de-energized. • A plot plan with the required shut-offs marked and • A short checklist that provides all steps, both OFF and ON 12.3 Basics Seven basic steps are part of every lockout/tagout procedure. 1. Communicate/coordinate with all affected people before, during, and after.
Electrical Hazards 299 2. Plan the shutdown so that equipment is fully isolated (e.g., fuses pulled, breakers rolled out). 3. Apply padlocks with tags at each shut-off point. The individual doing work keeps the only key(s), — Use a multiple lock device for the first lock at each shut-off. 4.Verify the effectiveness of the isolation and lockout. — TEST IT! — Nothing should be energized or able to be energized in any way from any source. 5. Respect each lock and tag. People can do their work with peace of mind. 6. Only the person who applied a lock should remove it. Ideally, each person removes their locks and tags as they finish their work; however, DO NOT RE-ENERGIZE, YET ! 7. Assure that the equipment and the area is clear. — All work is complete and no one is performing any last minute tasks. — Notify all effected users. — Post a watch where warranted to keep people away from the equipment. THEN AND ONLY THEN RE-ENERGIZE. 13.0 ELECTRICAL SAFETY COOKBOOK–What can be done? What can I do? 13.1 Understand Understand the equipment and system thoroughly. • The one thing not known can injure. • Training is essential. • Co-worker knowledge should be comparable.
300 Semiconductor Safety Handbook 13.2 Communicate Have good communication process that: • Tells everyone when and as changes are made. • Updates the safety plans. • Keeps drawings up-to-date. • Corrects schematics. • Revises operating manuals. 13.3 Never Work Alone Never permit working alone: out of sight of a backup person. • Back-up person must know the equipment. • Back-up person should have CPR training. • Back-up person cannot be in contact with the equipment. 13.4 Inspect Inspect and assess equipment regularly for electrical safety. • Look for possible new hazards. • Everyone should know the equipment thoroughly. Evaluate. • Verify that safety and emergency plans are current. • Look for out-of-date drawings or schematics. • Check the operating manual(s). • Understand the electrical grounding systems. Test them both initially and periodically thereafter. — Is the facility electrical ground designed for both shortcircuit current and impressed frequency conditions? — Are there separate lightning protection and facility ground systems? Are they properly interconnected at one point?
Electrical Hazards 301 13.5 No Shortcuts Use lockout and tagging, one-lock/one-key only. REALLY USE IT Follow a standard, written procedure. Do not take short cuts. 13.6 Safety Design Reviews Perform electrical safety design reviews of new equipment. • Include a hazard assessment. • Encourage peer reviews by uninvolved knowledgeable people, especially for new or changed hazardous systems. 13.7 Caution Do not operate any equipment until all safety systems work. IF IT IS NOT SAFE, DO NOT USE IT! DO NOT LET IT BE USED! Ask questions, QUESTION ANSWERS, use your knowledge and that of others, NEVER ASSUME!
13.8 Final Thoughts • Test before touching - ALWAYS. • Use grounding devices cautiously. • Stand to the side of a switch while operating the switch. • Believe Murphy. If it can fail, IT WILL FAIL. • Do not be in the middle of the failure.
302 Semiconductor Safety Handbook REFERENCES 1. Electrical Shock Safety Criteria, (J. E. Bridges, G. L. Ford, I. A. Sherman, M. Vainberg, eds.), Proceedings of the First International Symposium on Electrical Shock Safety Criteria, Pergamon Press, Elmsford, NY (1985) 2. Cooper, W. F., Electrical Safety Engineering, Butterworth & Co, London, Great Britain (1982) 3. CPSC Guide to Electrical Safety, U. S. Consumer Product Safety Commission, Washington, D.C., U.S Government Printing Office: 1984434-818, 12 pages including the following: Home Electrical Safety Audit Room by Room Checklist, U.S. Consumer Product Safety Commission, Washington, D.C., U.S. Government Printing Office: 1984 O-442-705 4. Dalziel, C. F., IEEE Spectrum, pp. 41–50 (Feb. 1972) 5. U. S. Department of Labor, Occupational Safety and Health Administration: 29 CFR Part 1910, Occupational Safety and Health Standards for General Industry, Subpart S, Electrical, 1910.301 to 1910.399 including 1910.331 through 1910.335: Electrical Safety Related Work Practices; Subpart J, General Environmental Controls, 1910.147, “The Control of Hazardous Energy Sources (Lockout/Tagout);” 29 CFR Part 1926, Occupational Safety and Health Standards for the Construction Industry; Subpart K, Electrical, 1926.400 to 1926.449 6. Electrical Inspection Illustrated, National Safety Council, ACAT 130300000 7. Federal Information Processing Standards Publication, N.B.S., FIPS PUB 94, Guideline on Electrical Power for ADP Installations 8. IEEE Recommended Practice for Grounding of Industrial and Commercial Power Systems, “IEEE Green Book,” The Institute of Electrical and Electronic Engineers, Inc., ANSI/IEEE Std. 142-1982 9. Guideline on Electrical Power for ADP Installations, U. S. Department of Commerce, National Bureau of Standards, Washington, D. C., Federal Information Processing Standards Publication, National Technical Information Service: FIPS PUB 94 10. Lee, R. H., “Electrical Safety in Industrial Plants”, IEEE Spectrum (June 1971); ASSE Journal (Sept. 1971) 11. Mazer, W. M., Electrical Accident Investigation Handbook, Electrodata, Inc., Glen Echo, MD (Nov. 1984) 12. MIL-HDBK-419A, Grounding Bonding and Shielding for Electronic Equipments and Facilities, Military Handbook 13. MIL-STD-188-124A, Military Standard, Grounding Bonding and Shielding 14. Morrison, R., and Lewis, W. H., Grounding and Shielding in Facilities, John Wiley & Sons, New York, NY (1990)
Electrical Hazards 303 15. National Electrical Code, National Fire Protection Association, ANSI/ NFPA 70. (Note: OSHA Electrical Safety Related Work Practices removes references to the NEC from 29 CFR 1910.) 16. The National Electrical Code Handbook, National Fire Protection Association, NFPA 70HB90 (for 1990 Code) 17. National Electrical Safety Code, American National Standards Institute, ANSI C2 18. McGraw-Hill Dictionary of Scientific and Technical Terms, (Sybil P. Parker, ed.) Fourth Edition, McGraw-Hill Book Company, NY (1989) 19. Parks, B. J., and Horner, R. L., Journal of Occupational Medicine, 15(12):967–970 (Dec. 1973) 20. Safety Requirements for the LockOut/TagOut of Energy Sources, American National Standards Institute, ANSI Z244.1 21. Seevers, O. C., Ground Currents and the Myth of Stray Voltage, The Fairmont Press, Lilburn, GA (1989) 22. Suggestions for Designers of Electronic Equipment, Naval Ocean Systems Center—NOSC TD 250, AD A073 420/2
304 Semiconductor Safety Handbook
6 Radiation Safety David G. Baldwin and Michael E. Williams
1.0
INTRODUCTION
A wide variety of technologies are used in semiconductor manufacturing and testing. These technologies utilize a broad range of radiation sources. This chapter focuses on the main ionizing and non-ionizing sources that are of concern in the industry. Additional information on the subject is covered in a recently published book: Williams, M. E., and Baldwin, D. G., Semiconductor Industrial Hygiene Handbook, Noyes Publications, Park Ridge, NJ (1995). As a background for this chapter, Fig. 1 provides a representation of the electromagnetic spectrum.[1] The spectrum runs from commercial power (extremely low frequency/electromagnetic fields) to the medical xrays, and includes radiofrequency (RF), microwaves, and optical radiation which will discussed in the laser and UV sections. In addition to the electromagnetic spectrum, radiation hazards exist from a few radioisotope sources that are used in the semiconductor industry. The major operations of concern being krypton-85 fine leak systems and cobalt-60 irradiators.
304
Radiation Safety 305
Figure 1. The Electromagnetic Spectrum.(1)
2.0
EXTREMELY LOW FREQUENCY ELECTROMAGNETIC FIELDS (ELF/EMF)
A great deal of uncertainty surrounds the question of adverse health effects from electromagnetic fields (EMFs). There is currently no consensus among the scientific community on the subject.[2][3] Information in this section is based on the best available data at the time this chapter was written. Many studies are in progress that may help to provide further insights into the question of health risks associated with exposure to EMF. Until 1979, there was no evidence associating extremely low frequency (ELF) EMFs with cancer. That year, Wertheimer and Leeper reported a substantial excess of high-current electrical wiring configurations near the homes of children in Denver, Colorado, who had died of brain cancer and leukemia.[4] This association was not based on actual measurements of ELF fields, but rather, wiring codes were used as a surrogate indicator of magnetic fields from the power transmissions lines and lines
306 Semiconductor Safety Handbook feeding the homes. Building materials effectively shield electric fields, but not the corresponding magnetic fields. Therefore, magnetic fields were considered the exposure of potential biological relevance. Savitz also provided additional information regarding wiring configurations and childhood cancers.[5] Since then, more than 50 epidemiological studies have examined this association.[3][6][7] There have been over 40 studies of adult cancers and occupational exposures to ELF. Problems with the methodologies used in these occupational studies prevent firm conclusions from being drawn.[7] These problems are: • In some studies, the effect-estimates tend to be biased upward by the “healthy worker” phenomenon (i.e., because workers tend to be healthy, their background incidence rate of disease is less than the general population) • The poor quality of the exposure information in virtually all of the studies makes it difficult to rely on “negative” results from any of them • Information on potential confounders such as occupational benzene exposure is often absent or highly limited • The occupational literature is susceptible to selective reporting and various jobs and occupations are grouped together depending on the results obtained The tendency in ELF field surveys is to emphasize magnetic field exposures because: • Previous epidemiological studies have focused on exposure to magnetic fields • Magnetic field measurements, as contrasted with electrical field measurements, are effectively not subject to proximity effects between the measuring device and either the observer, the source, or other conductive objects. This is particularly a problem in characterizing electric field levels in close proximity to workplace devices.[8] • Industrial exposure to electric fields is typically lower than exposures to magnetic fields when compared to residential electric field/magnetic field exposure ratios. The reason for lower electric fields in the presence of heavy electrical equipment appeared to be the effective shielding provided by metal.[9]
Radiation Safety 307 The extensive use of a wide variety of electrical equipment in semiconductor manufacturing, coupled with the emergence of the published studies summarized above has recently led to EMF concerns within the industry. However, typical workplace exposures to EMF within the semiconductor industry are expected to be far below existing health-based exposure limits (see EMF Standards & Guidelines for Magnetic Fields).[8]–[11] In one study, mean personal exposures of 3.4 milligauss (mG) were found for employees in semiconductor cleanrooms.[10] Table 1 provides a summary of the 60 Hz magnetic field exposure measurements from this study.[10] The highest routine cleanroom exposure to ELF in semiconductor manufacturing are expected to be for diffusion furnace operators, where average exposures greater than 5 mG were reported during actual operation of the furnaces. This study also noted that cleanroom personnel whose average measured exposures were noticeably higher than other cleanroom workers were those working in the vicinity of diffusion furnaces. In fact, they noted this finding was consistent with point measurements reported by Rosenthal and Abdollahzadeh,[8] who found that diffusion furnaces produced proximity readings (2 inches away) as high as 100–150 mG, with the surrounding fields falling off more gradually with distance than other cleanroom equipment studied; even at six feet away from diffusion furnaces, the reported flux densities were 12–20 mG.[10] Figure 2 from the same study provides a bar graph representation of the mean time-weighted average (TWA) exposures for cleanroom personnel by process area. The plus symbol (+) shown is one standard deviation from the mean, and N equals the number of workers from whom data was collected.[10] In the same study, the mean level of exposure for maintenance workers was 18.6 mG.[10] In the worker health study commissioned by the Semiconductor Industry Association (SIA), the University of California study team looked at physical agents such as radiofrequency (RF) radiation, and extremely low frequency magnetic fields (ELF-MF) which arise from the use of electrical current.[11] They defined various ELF exposure parameters based on both fab and non-fab “devices” or equipment that might have a relevant ELFMF. In the fab category they included ion implanters, sputterers, epitaxial reactors, diffusion furnaces, other furnaces, microscopes, fluorescent microscopes, and etchers/ashers. In the non-fab devices category they included microscopes, fluorescent microscopes, final test and probers. Figure 3 provides a box plot of the area levels for ELF-MF that were measured by the researchers during site visits. Figure 4 provides similar data for sputterers, etchers, aligners, microscopes, epitaxial reactors and ion implanters.[11]
308 Semiconductor Safety Handbook Table 1. Semiconductor Cleanroom 60 Hz Magnetic Field Measurements [10]
Number of Personnel
Mean Time (hr)
Standard Deviation (hr)
Bmag Mean (mG)
Standard Deviation (mG)
DDL
16
3.41
0.45
3.85
2.39
MOS V
14
2.97
0.91
2.84
1.15
MOS JIT
5
3.21
0.08
2.09
1.11
BIC II
5
3.43
0.06
3.14
3.10
GaAS:III-V (Excluding Maint.)
4
6.75
4.55
1.76
1.00
GaAS:III-V (Including Maint.)
5
6.77
3.94
5.13
7.58
Total (Excluding Maint.)
44
3.55
1.69
3.06
2.00
Total (Including Maint.)
45
3.63
1.74
3.41
3.04
Cleanroom
Figure 2. Mean exposures of semiconductor workers to 60 Hz magnetic fields during cleanroom processes (+ = 1 standard deviation; N= number of workers TWA exposures)[10]
Radiation Safety 309
Figure 3. Box plot of area ELF-MF measurements for all process groups taken during site visits (Note: dark lines in each box show median values, the box equals the 25th and 75th percentiles, lines extending from boxes show minimum and maximum values and circles are outliers).[11]
Figure 4. Box plot of equipment ELF-MF measurements taken during site visits (Note: dark lines in each box show median values, the box equals the 25th and 75th percentiles, lines extending from boxes show minimum and maximum values and circles are outliers.).[11]
310 Semiconductor Safety Handbook Table 2 provides additional ELF magnetic field readings for selected pieces of equipment and at varying distances from the source.[8]
Table 2. Magnetic Field Levels Near Devices in Microelectronics Fabrication Rooms[8]
Level at 2 inches (mG) Mean Range
Level at 24 Inches (mG) Mean Range
Type
N
Aligner
5
13.6
5–20
2.6
1.5–5
Etcher
5
111.2
6–400
4.0
1–7
Sputterer
3
266.7
100–400
6.2
1.5–15
Furnace
4
68.8
5–150
30.8
3–70
Inspection Station
13
28
5–40
2.7
0.5–5
Overall, the workers exposures to ELF magnetic fields measured in semiconductor fabrication cleanrooms were similar to those found in many other occupational settings. Personal dosimetry measurements on electric utility workers, as reported by the Electric Power Research Institute (EPRI), found mean exposure levels for most job tasks ranging between 1 and 10 mG, while average exposures of over 20 mG were reported for electrical workers involved in distribution and substation tasks.[12] Similar measurements reported for the telecommunications industry indicated average worker exposures ranged between 1 and 10 mG.[13] In summary, the overall average exposures of 3.4 mG found in the Crawford, et al., study[10] for workers in semiconductor manufacturing cleanrooms is consistent with the exposures found the telecommunications industry and with the lower range of worker exposures in the electrical utility industry. They concluded that this data shows that, as far as ELF magnetic fields are concerned, no unique conditions exist in the cleanroom environment that are not present in other routine electrical occupations or job tasks.[10]
Radiation Safety 311 In addition to comparisons with exposures in other industries, as a reference point typical ELF exposure within the semiconductor industry can be compared to exposures in the home. Household magnetic fields are highly variable and change quickly with power demand cycles. Typical resultant mean values in most rooms are about 1 mG, with a standard deviation of about twice the mean, and peak values over 12 mG.[14] Measurements taken during the use of appliances are much higher and have resultant means of about 9–20 mG, with standard deviations of about 40–75 mG and some peak values exceeding 1000 mG.[15] However, actual residential exposures would probably be considerably less depending on the proximity of the person to the location where the appliances are running. When these data are compared to those presented in Tables 1 and 2, and Fig. 1, it is apparent that while typical ELF exposures in the cleanrooms are higher than those at home, they are probably the same order of magnitude. Table 3. Mean Extremely Low Frequency Exposure Values of Workers in Electric Utility, Telecommunications, and Semiconductor Industries[10] Industry/Task Electric UtilityA Generation Transmission Distribution Substation Electrical Outdoor Shop Office Nonoccupational TelecommunicationsB Telecommunications vault Shared pole Residence customer premises Business customer premises Central Office Work center Work area protection setup Travel Lunch or break Nonoccupational SemiconductorC Cleanrooms AFrom
Sample Size
Mean (mG)
881 357 853 944 268 217 258 607 —
8.26 9.06 25.75 23.81 4.08 2.19 3.93 1.78 1.49
76 72. 188 148 98 138 120 572 257 29
8.21 4.65 230.00 3.27 2.23 1.66 3.24 2.26 1.82 1.73
45
3.41
Electric Power Research Institute Project [12] Crawford, et al.[10]
B From
Rainer et al.[14]
CFrom
312 Semiconductor Safety Handbook While ELF exposures in the semiconductor industry are typically low, IH/safety personnel in the semiconductor industry are still faced with the need to respond to employee concerns. Because mechanisms of ELFinduced health effects have not been clearly established, the most appropriate parameter for characterizing exposure is not known. In particular, the appropriate averaging time for characterizing ELF exposures is unclear (e.g., should peak or time-weighted-averages be used). Currently, spot measurements coupled with estimations of time spent at the work station are considered adequate for typical surveys. Steps in conducting an ELF survey include: 1. If in doubt, determine the frequencies that are of concern from the equipment or the workstation that is being surveyed. (This may be necessary to ensure the equipment does not produce frequencies higher than the meter is capable of measuring, that may cause interference with the meter, or higher frequencies that may be a greater potential health hazard than those in the ELF range. Equipment used in the semiconductor industry that may produce high frequency emissions includes diffusion furnaces, VDTs, sputterers, plasma etchers, plasma ashers, ion implanters, epitaxial reactors, and microwave ovens.) 2. Turn all electrical equipment in the work area off and take background readings (if this is practical). 3. Next turn the electrical equipment in the work area back on and measure the emissions at various locations in the work area at both source and operator positions. (The source readings measure emissions, while the operator position measurements indicate exposure.) 4. Record the measurements on a diagram of the work area. 5. With respect to the source, record the location of the operator at various times in the shift. There are two emphases for ELF surveys, one is characterizing the emissions from the source and the other is estimating the employee’s exposure. When estimating the employee’s exposure, the primary focus is measuring exposures to the trunk and/or head. However, if there is
Radiation Safety 313 significantly more exposure to the hands and feet (e.g., 50× the torso), these data should also be recorded.
3.0
RADIOFREQUENCY/MICROWAVE RADIATION
Radiofrequency (RF)/microwave radiation is a potential hazard that does not have good warning properties. Therefore, baseline data should be obtained for all routine operations with a potential for RF/microwave radiation exposure above applicable standards, and baseline RF surveys of new equipment may be required. As a practical matter, a lower frequency limit needs to be drawn to assess when baseline surveys are necessary. Since the body is fairly transparent to RF frequencies in the kilohertz region, and standard RF/microwave radiation meters have a lower limit of 300 or 500 kHz (depending on the meter), 500 kHz is sometimes used as a cutoff for the lower limit for thermal effects. Most RF/microwave equipment used in semiconductor manufacturing operate at or above a frequency of 13.56 MHz. This equipment includes plasma etchers and ashers, sputtering units, mold pre-heaters, microwave ovens, and plasma enhanced CVD units. Another area that is important to look at is exposure during maintenance of RF equipment, such as bypassing the shielding and/or internal grounding may greatly affect the emission levels radiating from the machine. If the appropriate shielding and internal grounding is in place, routine exposures to semiconductor fab operators should be very low. When trying to characterize employee exposures to EMFs, direct reading meters used for monitoring, such as RF meters, are more easily used for determining emissions from the fab equipment than they are for estimating dose. Therefore, RF surveys within the semiconductor industry often focus more on equipment emissions than they do on estimating the person’s actual exposure; however this may change if two IEEE standards recently approved by ANSI are implemented by the industry.[15][16] The focus on equipment also applies to potential exposures from other non-ionizing radiation sources such as ultraviolet and laser emissions. Table 4 is a list of common equipment used in the semiconductor processing that generates RF or microwave radiation, and the operating frequencies of the equipment. With regard to operator safety, the primary concern for exposure to RF energy comes during plasma etching and
314 Semiconductor Safety Handbook ashing.[17][18] Typically, the leakage of RF energy can be caused by: (i) misaligned doors; (ii) cracks and holes in the cabinets; (iii) metal tables and electrical cables acting as antennae due to improper grounding of the etcher; and (iv) no attenuating screen in the viewing window of the etcher.[18][19] RF exposure can also occur during the maintenance of etchers, particularly if the equipment cabinet has been removed. An exposure of 12.9 mW/cm2 was found at the top of a Tegal 915 plasma etcher with the cover removed for maintenance.[19] The actual RF radiation leakage in the area where the operator stands was typically less than 4.9 mW/cm2. Leakage from the sides and backs on many older Perkin-Elmer 4400 Series Sputter units was found to exceed the Threshold Limit Value (TLV®).[20] Most of the leakage was attributable to cracks in the cabinets caused by repeated removal of the maintenance panels. In newer Perkin-Elmer models, panels with wire mesh along the seams prevent significant leakage. Series 4400 sputterers can be retrofitted with wire mesh or, alternatively, copper tape can be used to cover the seams to reduce the leakage. Two excellent references on the subject of exposure standards and measurement of RF and microwave fields are contained in the ANSI/IEEE documents.[15][16]
Table 4. Common RF/Microwave Radiation Producing Devices used by the Semiconductor Industry
Equipment Plasma Etchers (some) Epitaxial Reactors Sputterers Plasma Ashers Plasma Etchers (most) X-ray Lithography Sources Mold Preheaters Handheld Radios* Microwave Ovens
Frequency (MHz) <0.5 <0.5 13.56 13.56 and 2450 13.56 50–55 70–110 460–470 2450
*Handheld radios 7 watts or less are exempt from the ACGIH RF/microwave standard.
Radiation Safety 315 4.0 LASERS Lasers primarily present an eye and skin hazard from the direct or reflected energy that is emitted from the Light Amplification by Stimulated Emission of Radiation (LASER) source. The eye is the most vulnerable part of the body because of the light magnification that is created by the lens in the eye and direct contact of the retinal surface with incoming laser energy. With the lasers used within the industry, the emphasis is not on radiation monitoring. Safety efforts are focused on ensuring controls are in place to prevent all intra-beam exposures. The majority of lasers used in semiconductor processing equipment are low powered (Class 2 or 3A) helium-neon (He-Ne) lasers used for alignment purposes. Typical fab equipment using these lasers includes some photolithographic steppers, wafer surface scanners, chemical vapor deposition (CVD) equipment, and some aligners. Safety precautions needed with these lasers are minimal assuming collecting optics are not used in the system. A variety of high powered lasers are also used in the semiconductor industry. Some common examples include: • Some He-Ne lasers (Class 3b) used for alignment purposes • Deep UV lasers used as exposure sources in photolithography • Neodymium-YAG (Nd:YAG) lasers used for operations such as deburring, trimming leads, mask repair, and laser scribing • Carbon dioxide (CO2) laser used for through-hole drilling of printed circuit boards, and marking the exteriors of both plastic and ceramic IC packages Except for certain R&D uses, these lasers are typically embedded in the equipment and have interlocked cabinets that enclose the beam path and the point where the beam contacts the target. This makes the lasers Class 1 during normal operations, but they are considered Class 3b or 4 lasers when the safety interlocks are defeated. The most common operation where it is necessary to remove the beam enclosures and defeat the interlocks is during alignment of the laser beam. During these maintenance operations, ideally the room containing the laser should be evacuated—except for necessary maintenance technicians— the doors to the room locked and posted with appropriate laser safety signs. However, high powered lasers used in semiconductor manufacturing are often located in large, open manufacturing areas making it impractical to
316 Semiconductor Safety Handbook relocate non-maintenance personnel during maintenance. For these situations, a temporary control area is typically established. Normally these control areas consist of laser curtains or welding screens capable of withstanding direct contact with the laser beam. Entrance to the temporary control area is usually through a maze entry that is posted with a warning sign whenever the interlocks for the laser are defeated. Other safety precautions during beam alignment are similar to those required for the operation of an open-beamed Class 4 laser (e.g., training, eye protection, written procedures, etc.). Along with the beam hazard, care should also be taken in performing maintenance on laser marking systems because of the potential for chemical contamination from antimony trioxide (a fire retardant added to the epoxy plastic packages) and beryllium (ceramic packages containing this compound will be labeled). The potential for contamination with visible residues from either of theses two toxic metals is likely. The major controls applicable to lasers used in semiconductor manufacturing are listed in Table 5. A detailed explanation of these precautions and others are contained in reference, American National Standards Institute (ANSI), Z136.1-1993, American National Standard for the Safe Use of Lasers.[21] Most laser safety programs within the U.S. semiconductor industry are based on this standard.
Table 5. Key Laser Safety Controls by Laser Class (Summarized from Ref. 21)
Controls 1
2a
Laser Class 2 3a
3b
4
Labels
-
x
x
x
x
x
Beam Stop
-
-
x
x
x
x
Alignment Procedures
-
-
x
x
x
x
Training
-
-
-
x
x
x
Interlocks on Housing
o
o
o
o
x
x
Temporary Laser Area
o
o
o
o
-
-
(Cont'd next page)
Radiation Safety 317 Table 5. (Cont'd)
Controls
Laser Class 2 3a
3b
4
-
-
-
x
x
-
-
-
-
x
x
Eye Protection
-
-
-
-
x
x
Master Key Switch
-
-
-
-
x
x
Firm Mounting
-
-
-
-
x
x
Authorized Personnel
-
-
-
-
x
x
Written Authorization
-
-
-
-
x
x
Maintenance Procedures
-
-
-
-
x
x
Medical Exams
-
-
-
-
x
x
Emission Indicator
-
-
-
-
x
Standard Operating Procedures
-
-
-
-
x
Pulsed System Interlocks
-
-
-
-
-
x
Interlock into Room
-
-
-
-
-
x
Warning System on Room Entry
-
-
-
-
-
x
Emergency Off Button
-
-
-
-
-
x
1
2a
Laser Control Area
-
Limited Beam Path
LEGEND: x Required - Not Required o Required if Embedded Class 3b or Class 4 Laser
5.0
ULTRAVIOLET RADIATION
The predominant wavelengths of ultraviolet (UV) light currently used in photomasking are 365 nm or above, but UV lamp spectra also contain significant energy in the actinic region below 315 nm. Normally, the
318 Semiconductor Safety Handbook intensity of the UV radiation escaping from the equipment is less than the TLV®. Occasionally during maintenance, the alignment of the UV lamp requires it to be energized outside the equipment cabinet or with normal protective filters bypassed. Exposure levels during this operation can exceed the TLV®, but standard cleanroom attire (e.g., smocks, vinyl gloves, face masks, and polycarbonate safety glasses with UV inhibitor) usually is adequate to attenuate the UV light to below the TLV®.[19] While the predominant wavelengths for ultraviolet (UV) lamps used in photolithography are 365 nm or above, the quest for smaller features in advanced ICs are leading to the use of exposure sources with smaller wavelengths such as deep-UV and x-rays. One new technology for this purpose is the use of krypton-fluoride excimer lasers used in steppers. These steppers use a wavelength of 248 nm at Class 4 laser power outputs. However, enclosures for these systems result in their classification as Class 1 lasers under normal operation. As with other embedded Class 4 laser systems used in semiconductor manufacturing, the main concern is when interlocks for the system must be defeated during beam alignment. Controls and safety design considerations for these systems are contained in Ref. 22. While a number of sophisticated, artificial UV sources are utilized in semiconductor cleanrooms, the highest exposures to UV light in the actinic region typically occurs outside the buildings. On a sunny day in Santa Clara County, California, the effective UV irradiance approximately equals the 15-minute TLV® for UV.
6.0
IONIZING RADIATION
The exposure of semiconductor fabrication manufacturing and maintenance personnel to ionizing radiation is limited. Within the industry, of those persons with a potential for ionizing radiation exposures, the largest number work with x-ray generating equipment, such as the ion implanters and cabinet (package) x-ray units. Use of radioactive material is primary limited to small test labs doing coating thickness testing (QA/Reliability, Packaging) or through the use of general license antistatic units that may be installed on inert gas lines used for particle cleanup. The most significant quantities of radioactive materials used in semiconductor manufacturing are utilized in the fine leak detection testing of the hermeticity of IC packages with krypton-85 radioactive gas. Because krypton is a gas, the potential
Radiation Safety 319 exists for leakage or discharge of the gas into the workroom or release into the atmosphere.
6.1
X-Ray Generating Machines
A wide variety of electrical equipment is used in semiconductor manufacturing that has the potential for generating x-rays. This list of equipment includes x-ray diffraction units, x-ray lithography sources, x-ray fluorescence units (e.g., Kevex and UPA Fluoroderm), cabinet radiography units (e.g., Faxitron and Nicolet Mikron), ion beam milling machines, and ion implanters. These radiation machines produce x-rays either intentionally or incidentally to their operation (e.g., ion implanters and ion beam milling machines). Most of these units are designed such that detectable exposures to ionizing radiation are unlikely if the equipment cabinets and doors are maintained in a tight fitting manner. Some exceptions are listed below. During ion implantation, x-rays are formed incidental to the operation. Most implanters are designed with sufficient cabinet shielding (which includes lead sheeting strategically placed around the ion source housing and adjacent access doors) to maintain employee exposure below 0.25 millirems per hour (mR/hr).[23] However, some Lintott 3X implanters were found to have x-ray leakage above 2 mR/hr at the unit’s surface.[24] These levels were reduced to less than 0.25 mR/hr after additional lead shielding was installed. An older Veeco ion implanter was found to have x-ray leakage around an access door (up to 1.5 mR/hr) and at a viewport (up to 0.3 mR/hr). Additional lead shielding was added to attenuate possible exposures.[25] In addition to x-ray exposures from ion implanters, the possibility of neutron formation has been postulated if the implanter is operated above 8 MeV or deuterium gas is used as an ion source.[26] However, typically implanters are designed to operate at well below 8 MeV and deuterium is not commonly used in the industry. Within the industry, cabinet x-ray systems are used to check the thickness of metal coatings and to identify defects (e.g., air bubbles in mold compound packages). While typically not a significant source of leakage, these units need to be checked on a periodic basis with a hand-held survey meter for x-ray leakage and to ensure door interlocks operate properly. The operator manual should be kept adjacent to the unit.
320 Semiconductor Safety Handbook X-ray diffraction units generate an x-ray beam that is used to check the crystalline structure of incoming semiconductor wafers. Under certain circumstances, it may not be practical to fully contain the x-ray beam in an interlocked enclosure. In this instance, operators may be required to wear radiation finger badges, and controls similar to those used for a Class 4 lasers are used (e.g., enclosed room with limited access, operator training, enclosing the x-ray beam as much as practical, etc.). One advanced technology exposure source used in lithography is x-rays. Emission levels from x-ray lithography sources may result in dose rates approaching 5 rems per year in the center of the equipment. Restricting access to areas inside the shielded wall is recommended to minimize exposure. [27] As a minimum, radiation surveys should be performed when x-ray generating equipment is installed, modified, or moved. These surveys are typically performed with a Geiger-Muller (G-M) counter or other sensitive rate meter with a fast response. The probe of the survey instrument is moved in a zigzag pattern across all surfaces of the tool. Cabinet seams, windows, screw holes, and other penetrations are particularly scrutinized. As part of the survey, any interlocks on the equipment should be checked to ensure they are functioning properly. Geiger-Muller (G-M) counters can overrespond to soft x-rays produced by equipment typically used in the semiconductor industry.[28] Therefore, when emissions above background levels are detected, an ion chamber instrument should be used if it is necessary to quantify the emission.[29] Radiation film badges are unnecessary when employee exposures are below the limits recommended for uncontrolled radiation areas.[30] While the vast majority of the x-ray generating operations in the semiconductor industry falls into this category, it is a fairly common practice within the industry to wear radiation film badges when operating this equipment even when the radiation generated from the equipment is contained in interlocked cabinets, and the emissions from the units is negligible or nonexistent. Film badges are not adequate for identifying exposures from most xray generating equipment (e.g., cabinet x-rays, ion implanters, etc.). If they occur, most x-ray emissions from these tools are in the form of beams emanating from unintended gaps or holes in the cabinets. Whether the badges are mounted on the tool or worn by the operator, the beam and the badge may not connect. Also, the detection limit for the film badge is about 5 millirems for effective energies less than 100 keV, which is not low enough to identify brief exposures that would be of concern in an uncontrolled
Radiation Safety 321 radiation area (e.g., 2 millirems per hour). A review of 7764 radiation film badges used with 39 ion implanters showed no record of detectable amounts of x-rays.[24]
6.2
Radioactive Material
Cabinet x-ray systems are normally used to check the thickness of metal coatings on the IC. Alternatively, the older technology is to measure metal coatings with sealed beta emitting radiation sources. These sources are typically categorized as “general license” radiation sources in the U.S. by the radiological health department in the applicable state. Such sources typically contain microcurie quantities of radioactive material that are encapsulated (which leads to the term “sealed sources”). Containers for these sealed sources are prominently labeled with an ionizing radiation emblem. This arrangement typically does not present a significant hazard under normal operations. The problem usually comes from either misplacing the source (it is illegal in the U.S. to dispose of the source in normal domestic trash—all sources must be returned to the original manufacturer or disposed of as radiological waste), or from the protective housing being breached due to mishandling. Regulations require that the sources be “leak tested” periodically (usually on a semiannual or annual basis) to ensure that they are not leaking the radioactive particulate material that is enclosed. Leak testing involves wiping the source enclosure with a small swab, and submitting the sample for analysis by a certified radiological testing laboratory. If the seal on the source is breached and removable radioactive material is detected, the leaking source should be immediately removed from service and returned to the manufacturer. The area around the leaking source needs to be surveyed with a G-M counter, and points of leakage (“hot spots”) are wiped clean. The area must be rechecked to ensure all contamination has been removed. Employees should be notified of the incident. Another source of radioactive material sometimes used in the semiconductor industry are antistatic devices containing polonium-210, an alpha emitter. While used extensively in the past, currently, the use of these sources is primarily limited to certain mask-making operations. Typically the antistatic devices are leased from the manufacturer for a specific period of time (e.g., 12 months). The supplier of the antistatic device normally performs a periodic wipe test of the source, but the users should maintain
322 Semiconductor Safety Handbook copies of the records of these tests. Design problems have occurred in the past with the enclosure housings, and notices of potential leakage of radioactive material conveyed to end users. The two principal “specific license” radiation sources used in semiconductor manufacturing are krypton-85 gas (up to 50 curies) used in fine leak testing systems and cobalt-60 (up to 26,000 curies) used in irradiators for testing the ability of ICs to withstand exposure to gamma radiation in military and space applications. Under normal conditions, personnel exposures from these operations are typically less than 500 millirems per year.[19] Controls for these systems include: • Isolation in rooms with access limited only to necessary personnel • Posted radiation warning signs on the doors to the rooms containing the radioactive sources • Continuous radiation monitors with alarms (and auto shutdown/isolation for fine-leak systems) • Dedicated exhaust system and negative pressure room for Kr-85 systems • Monitoring exposures with personal dosimetry (e.g., radiation film badges) • Regular maintenance of alarms and interlocks • Regular checks for radioactive material leakage • Safety training for operators and technicians • Ensuring radiation exposures are kept As Low As Reasonably Achievable (ALARA) Also, materials that come in contact with Kr-85 (e.g., exposed ICs, used pump oil, valves, and O-rings) are surveyed to ensure they do not emit excessive levels of radiation (e.g., >2 mR/hr) because of residual gas in them. Detailed information on exposures and controls from Kr-85 fine leak detection systems are available in Ref. 31. Small “specific license” alpha sources (e.g., micro- and millicuries of americium-241) are used in the failure analysis process. These sources are covered by a thin protective coating called a window that allows alpha particles to be emitted from the source to test the integrated circuit’s ability to operate when bombarded by alpha particles. The direct wiping of the protective window could damage it. Because of this, the integrity of the
Radiation Safety 323 window is periodically checked (e.g., semiannually) by holding the source over a clean sheet of paper, gently tapping the base of the source and wiping the area on the paper under the source. Any detectable leakage usually triggers removal of the source and shipping it back to the manufacturer. When specific licensed radioactive material is used at the facility, employees are required to be trained on the hazards of the source(s), and an individual must be designated as the Radiation Safety Officer . Records are required to be kept on the specifics of the sources at each location (manufacturer, type, quantity, storage and use location, person the sources are assigned to, and leak testing/GM counter results) and a locked/secure location is recommended. Table 6 identifies potentially significant UV, RF, and ionizing radiation exposure sources published in the literature that is associated with equipment used in semiconductor manufacturing. These exposures are the maximum listed in the references cited, and should not be considered typical of routine exposures. In addition to the equipment listed in this table, as previously mentioned, both Kr-85 fine leak systems and Co-60 irradiators also have the potential for significant exposures. However, the authors are not aware of either published or unpublished reports indicating radiation exposures above established limits for controlled radiation areas.
Table 6. Semiconductor Manufacturing Operations with Potentially Significant Radiation Exposures.
Process
Activity/Equipment
Radiation
Maximum Exposure
[Ref]
Photolithography
UV Lamp Alignment
UV Radiation
Not Listed
[19]
X-ray Lithography
———
X-ray & Neutron
<5000 mR/yr
[27]
Etching
Plasma Etching Plasma Etcher Maint.
RF Radiation RF Radiation
>4.9 mW/cm2 12.9 mW/cm2
[19][20] [17][18]
Ion Implantation
Operating Lintott 3X Implanters
X-ray Radiation
>2 mR/hr
[24]
(Cont'd next page)
324 Semiconductor Safety Handbook Table 6. (Cont'd)
Process
Activity/Equipment
Radiation
Maximum Exposure
[Ref]
Metallization
Operating Perkin-Elmer 4400 Series Sputterers
RF Radiation
>4.9 mW/cm2
[19]
Assembly and Test
Betascope Sources
Beta & Gamma
>5 nCi *
[19]
*Refers to nanocuries of removable radioactive material rather than an exposure.
ACKNOWLEDGMENTS We would like to gratefully acknowledge the technical contributions of the following individuals: Jim Campbell, Intel; Stan Futagaki, Advanced Micro Devices; Pat Murphy, Intel; Jamie Rubin, Hewlett-Packard; Brett Strenger, Advanced Micro Devices; and Ed Valdez, National Semiconductor. Their assistance with this project is greatly appreciated.
REFERENCES 1. Sliney, D., and Worbarsht, M., Safety with Lasers and Other Optical Sources, pp. 15, Figure 2-1, Plenun Press, New York, NY (1980) 2. Pool, R., Science, 250:23–25 (Oct. 5, 1990) 3. Hendee, W. R., and Boteler, J. C., Health Phys. 66(2):127–136 (1994) 4. Wertheimer, N., and Leeper, E., Am. J. Epidemiol., 109(3):273–284 (1979) 5. Savitz, D. A., Wachtel, H., Barnes, F. A., et al., Am. J. Epidemiol., 128(1):21–38 (1988) 6. Savitz, D. A., and Calle, E. E., Occup. Med., 29(1):47–51 (1987)
Radiation Safety 325 7. Poole, C., Trichopoulos, D., Cancer Causes and Control, 2:267–276 (1991) 8. Rosenthal, F. S., and Abdollahzadeh, S., Appl. Occup. Environ. Hyg., 6(9):777–784 (1991) 9. Bowman, J. D., Garabrant, D. H., Sobel, E., Peters, J. M., Appl. Ind. Hyg., 3(6):189–194 (1988) 10. Crawford, W. W., Green, D., Knolle, W. R., Marcos, H. M., Mosovsky, J. A., Petersen, R. C., Testagrossa, P. A., and Zeman, G. H., Magnetic Field Exposure in Semiconductor Cleanrooms, in: Hazard Assessment and Control Technology in Semiconductor Manufacturing II, pp. 73–86, American Conference of Governmental Industrial Hygienists, Cincinnati, OH (1993) 11. Schenker, M.—Principal Investigator, Epidemiologic Study of Reproductive and Other Health Effects Among Workers Employed in the Manufacture of Semiconductors—Final Report to the Semiconductor Industry Association, University of California at Davis, pp. 409–417 (Dec. 1992) 12. Electric Power Research Institute: Technology Transfer and Occupational Measurements, Vol. 1: Summary; Vol. 2: Project Description and Results, Vol. 3: Appendices, EPRI EN-7048, Project RP2966-.1 EPRI, Palo Alto, CA (1990) 13. Rainer, D., Kermidas, E., Peterson, R. C., et al., Magnetic Field Exposure Measurement Program for the Telecommunication Industry, Special Report SR-TSV-002341, Issue 1, 1992, Bellcore PYA 1P-201, Bell Communications Research, Piscataway, NJ 08854 14. Silva, M., Hummon, N., Rutter, D., and Hooper, C., Power Frequency Magnetic Fields in the Home, IEEE Transactions on Power Delivery, Institute of Electrical and Electronics Engineers, 4(1):465–478 (1989) 15. ANSI/IEEE C95.11991, IEEE Standard for the Safety Levels with Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 Hz to 300 GHz, Institute of Electrical and Electronics Engineers, Inc., Piscataway, NJ (1992) 16. ANSI/IEEE C95.31991, IEEE Standard for the Recommended Practice for the Measurement of Potential Hazardous Electromagnetic Fields—RF and Microwave, Institute of Electrical and Electronics Engineers, Inc., Piscataway, NJ (1992) 17. Cohen, R., Radiofrequency and Microwave Radiation in Microelectronics Industry in: State of the Art Reviews Occupational Medicine, The Microelectronics Industry, (J. LaDou, ed.), p. 148, Hanley & Belfus, Inc. Philadelphia, PA (January–March 1986) 18. Jones, J. H., Exposure and Control Assessment of Semiconductor Manufacturing, AIP Conf. Proc. (Photovoltaic Safety), 166:44–53 (1988)
326 Semiconductor Safety Handbook 19. Horowitz, M. R., Nonionizing Radiation Issues in a Semiconductor R & D Facility, Presented at the June 1992 American Industrial Hygiene Conference in Boston—Paper 122 (Unpublished) 20. Baldwin, D. G., and Stewart, J. H., Solid State Technology, 32(8):131–135 (1989) 21. American National Standards Institute (ANSI); Z136.1-1993, American National Standard for the Safe Use of Lasers, The Laser Institute of America, Orlando, FL (1993) 22. Escher, G., Weathers, J., and Labonville, B., Safety Design Considerations in Deep-UV Excimer Laser Photolithography, in: Hazard Assessment and Control Technology in Semiconductor Manufacturing II, pp. 293–303, American Conference of Governmental Industrial Hygienists, Cincinnati, OH (1993) 23. Maletskos, C. J., and Hanley, P. R., IEEE Trans. on Nuclear Science, NS30:1592–1596 (1983) 24. Baldwin, D. G., King, B. W., and Scarpace, L. P., Solid State Technology, 31(1):99–105 (1988) 25. Baldwin, D. G., Rubin, J. R., and Horowitz, M. R., SSA Journal, 7(1):19– 21 (1993) 26. Rodgers, J. W., Radiation Safety In Semiconductors, Presented at the April 1994 Semiconductor Safety Association Conference in Scottsdale, AZ (Unpublished) 27. Rooney, F. P., and Leavey, J., Safety and Health Considerations of an Xray Lithography Source, in: Hazard Assessment and Control Technology in Semiconductor Manufacturing, American Conference of Governmental Industrial Hygienists, pp. 265–269, Lewis Publishers, Chelsea, MI, USA (1989) 28. Martin, A., and Harbison, S. A., An Introduction to Radiation Protection, 2nd Edition, p. 97, John Wiley & Sons, New York, NY, (1979) 29. National Council on Radiation Protection and Measurements, Structural Shielding Design and Evaluation for Medical Use of X-Rays and Gamma Rays of Energies Up to 10 MeV, (NCRP Report No. 49), National Council on Radiation Protection and Measurements, 7910 Woodmont Ave, Bethesda, MD 20814 (1981) 30. National Council on Radiation Protection and Measurements, Instrumentation and Monitoring Methods for Radiation Protection, (NCRP Report No. 57), National Council on Radiation Protection and Measurements, 7910 Woodmont Ave, Bethesda, MD 20814 (1978) 31. Leach-Marshall, J. M., SSA Journal, 5(2):48–60 (1991)
Plasma Processing Hazards 327
7 Recognition, Evaluation and Control of Some Plasma Processing Hazards David Rainer and Lisa Brooks
Chemical Safety Management In A Nutshell • The Right Attitude: Commitment from every single member of the company is essential to making chemical safety management work. • Know Your Operation: Know the hazards of the materials you work with and of your equipment. Identify safety requirements and existing capabilities and weaknesses. Correct the problems and implement appropriate procedures and practices. • Reduce Your Hazards: Find ways to make your operation safer. You could reduce your inventory of hazardous substances, find less hazardous substitutes, or change your processes. • People are the Key: Train your work force in proper procedures and practices, develop task requirements of employees and contractors, and update training to keep up with changes. 327
328 Semiconductor Safety Handbook • Take Charge of Change: Any change in one part of your operation may affect other parts. Plan accordingly. • Protect Yourself: Keep equipment in top shape, inspect and maintain it faithfully, conduct regular safety reviews, and have a working emergency action plan and appropriate emergency equipment available. • Learn From Mistakes: Investigate accidents and nearaccidents, determine the causes, and make whatever changes are necessary to prevent them from happening again. • Be A Good Citizen: Work with the community and with local emergency planning officials to reduce chemical risks. • Once Is Not Enough: Managing chemicals safely is a continuing process. It’s not a document on a shelf; it’s an everyday part of running your business successfully.[1]
1.0
INTRODUCTION
Recognition, evaluation and control of occupational health and environmental hazards has generally been considered to be within the province of the health and safety professional. However, on a broader scale, there is an ever-increasing awareness of the need to assess environmental health and safety issues and their effect on the environment, community, and coworkers. The recent explosive growth of the microelectronics industry and the proliferation of new personnel and ideas into the processing arena brings forth many new safety concerns. Most processing and research are device driven and as a result many personnel entering the field include electrical engineers and physicists whose disciples are not necessarily well versed about safety related issues. Safety precautions are necessary for the protection of the environment, community and co-workers, and the primary responsibility of starting and running a safe operation lies with the very people who are going to do the work. In every step of the design, installation and implementation of a process, procedure or piece of equipment, it is essential to think about the hazards.
Plasma Processing Hazards 329 A systematic review of the hazards of the feed chemicals must be made, the reaction chemistry must be evaluated, the effluent hazards identified, the reactor appropriately designed for the chemical and physical hazards inherent in the process, and training and emergency procedures predetermined to deal with the unexpected. In summary, as much forethought needs to be given to the environmental health and safety issues as to the device issues. Nobody is in a better position to assess these hazards than the individuals designing and running the process. In this light, safety must be considered a shared responsibility and continued safety improvement the goal. In addition, most companies are implementing “design for the environment” strategies and are issuing “green report cards” which are intended to inform the public about the reduction in process emissions. SEMATECH has developed an EH&S “Roadmap” which is a priority list of issues that need to be resolved to assure the semiconductor industry meets its goal of an environmental friendly industry (Fig. 1). As the use of more and more chemicals are restricted by law (ozone depleting) and occupational health exposure standards are reduced (glycol ethers) both researchers and engineers need to consider the impact of process operations on the community, environment, employees, and consumer. This chapter is necessarily intended to provide a broad overview of environmental health and safety issues. An attempt is made to review many of the basic topics that must be addressed in any chemical process and to provide the background information necessary in order to make sound judgments and decisions. The primary objective is accident prevention and safe handling of all species which are necessary for carrying out the process. Having taken all these precautions, the need still remains for preparing for the unlikely event of an emergency that must be professionally and appropriately handled. Toward this goal, this chapter is organized to provide information on the evaluation of hazards, process specific hazards, gas and chemical hazards, gas safety and monitoring, and toxicology. The final section briefly touches on other safety issues including building and fire codes and RF radiation.
330 Semiconductor Safety Handbook 2.0
HAZARD EVALUATION
“Plasma etching was explored as a cheaper alternative to wet solvent resist stripping for integrated circuit manufacture in the late 1960’s and early 1970’s. By the early 1970’s, CF4/O2 plasma etching was widely adopted for patterning silicon nitride passivation of its selectivity over resist masks and underlying metalization. The wet chemical alternatives were complicated and indirect....” “These initial dry plasma-assisted etching processes were purely chemical and isotropic. At first, their advantages seemed to lie in unique processing sequences, substitution of safe nontoxic gases such as O2 and CF4 for corrosive liquids, easily discharged waste products and simple automation...” from Ch. 1, Plasma Etching Technology—An Introduction.[2]
Figure 1. Environment, safety, and health technology focus areas. (Semiconductor Industry Association.)
Plasma Processing Hazards 331 As with many new processes, the goal of plasma etching was to find a more cost effective, environmentally sound, safer alternative to a chemically intensive procedure, in this case wet resist stripping. However, as with many new processes, new or subtle hazards may be introduced. Plasma-assisted etching was welcomed by the safety community since early plasma systems used nonhazardous gases such as oxygen and freons to perform operations that were traditionally performed in open tanks of corrosives and solvents. As plasma technology has evolved, new processes have been developed to etch almost any material used in device fabrication. Many of these newer processes require the use of toxic gases such as nitrogen trifluoride, chlorine, boron trichloride and others, i.e., chlorinated and fluorinated hazardous gases. The latest chemicals to be used include iodine-containing compounds such as hydrogen iodine. It is now recognized that when the input gas, whether initially inert or toxic, is exposed to the plasma and is dissociated to form ions and free radicals, many new compounds of unknown toxicity are evolved. Many of these reaction by-products are uncharacterized but it is certain that the species formed in the plasma are extremely reactive and produce toxic or corrosive reaction by-products so that nontoxic feed gases may result in toxic effluent. All surfaces that have been exposed to the process chemicals and plasma should be considered hazardous. For example, any system using chlorine based chemistry will contain water soluble chlorides and any system containing fluorine will contain free fluorides. Both of these ions readily hydrolyze to form either hydrogen chloride or hydrofluoric acid. In addition, organic compounds compromising the photoresist mask may result in organic by-products containing carbon and hydrogen and many of these by-products have unknown hazards. Since the list of plasma processing chemicals is limited only by the imagination, it is imperative that the researcher or process engineer assess the hazards of each process and process chemical change to eliminate fires, explosions or business interruption, adverse environmental effects, and most importantly, assure the safety of personnel.
332 Semiconductor Safety Handbook 3.0
PROCESS HAZARD REVIEW
Federal and State regulations requiring the use of process hazard review techniques are being promulgated by various jurisdictions. Among others, the U.S. Department of Labor’s Occupational Safety and Health Administration (OSHA) promulgated a “Chemical Process Safety Standard,” (OSHA 1910.119) which became law in February 1992.[3] This standard requires companies to establish a process hazards management program if they use “highly hazardous chemicals” exceeding specified threshold quantities. To accomplish this goal, “process hazard review” (PHR) is required. However, even small-quantity chemical users not within the regulated community will benefit and enhance the safety of work operations by employing process hazard review techniques. There are many hazard analysis formulations which may be used effectively to assess process hazards. These include fault-free analysis, failure mode and effect analysis (FMEA), what-if analysis, hazard and operability analysis (HAZOP), check list analysis, and safety review, among others. The specifics associated with these analyses can be reviewed by consulting the appropriate American Institute of Chemical Engineers Center for Chemical Process Safety reference.[4][5] The important point in implementing any of these procedures effectively is to assemble a multidisciplinary team (review committee) represented by a technical representative or the principal investigator, safety, operations and/or other specialists or personnel as appropriate. Each representative brings knowledge and expertise of the process technology under review and provides differing perspectives of the hazards or problems to be assessed. Many different factors need to be evaluated when determining which process hazard review methodology should be utilized. These include the complexity of the equipment or process, previous experience of accidents or incidents and the potential consequences of an adverse event such as serious injury or community contamination. Other parameters include time available, cost, etc., since the time and complexity of the various analyses varies widely. In general, the what-if analysis is the simplest and least expensive. The elements listed in the OSHA standard provide a suitable framework to begin the hazard assessment of a project and should be viewed as the minimum criteria utilized to evaluate process hazards. Many of these elements would appear to be good common sense; however, they are often overlooked because the assumption is made, incorrectly, of course, that
Plasma Processing Hazards 333 nothing will go wrong. The hazard analysis is necessary to assess the broad range of hazards inherent in any high technology process, develop a consensus of a broad spectrum of experts, develop hazardous materials handling procedures, and to establish guidelines for handling an emergency condition and process effluent. After assembling the PHR committee, the goal should be to review the hazards of a process in its entirety and provide written documentation of the review including what elements of the system were evaluated, how the assessment was conducted, and most importantly, corrective action that needs to be taken to correct deficiencies. The committee should function as a team and include expertise in engineering, safety, and other disciplines as required. Elements of the OSHA standard which should be included in any hazard analysis include: 1. Identify previous incidents which have a likely potential for adverse consequences in the workplace. An invaluable reference relating to chemical reactivity and incidents is Bretherick, Handbook of Reactive Chemicals. [6] Additional sources of information about semiconductor process hazards are the Semiconductor Industry Association (SIA) and Semiconductor Safety Association (SSA). The SSA in particular provides a forum in which companies and universities can discuss accidents, incidents, and process safety issues. 2. List engineering and administrative controls applicable to the hazards and their interrelationships such as appropriate application of detection methodologies to provide early warning of release. Acceptable detection methods might include toxic gas monitors with alarms, automatic process shutdown upon gas detection or utilization of devices to slow release of leaking gases such as flow limiting orifices in gas cylinder valves. a) Assess the consequences of the failure of engineering and administrative controls. Failures of major systems such as ventilation should shutdown gas distribution systems and begin inert gas purge. Ventilation should be hooked to emergency power and there should be audible alarms to indicate ventilation failure.
334 Semiconductor Safety Handbook b) Try to evaluate the range of possible safety and health effects of failure of controls on employees in the workplace. For example, if the process employs acutely toxic gases, what would happen if toxic gas monitors failure and there is a toxic gas release? Plan for the unexpected and have backup systems and contingency plans. 3. The employer should establish a system to promptly address the team’s findings and recommendations; assure that the recommendations are resolved in a timely manner and that the resolution is documented; document what actions are to be taken; complete actions as soon as possible; develop a written schedule of when these actions are to be completed; communicate the actions to operating, maintenance, and other employees whose work assignments are in the process and who may be affected by the recommendations or actions. In today’s litigious environment this is a double edged sword. Documents you generate identifying hazards must be acted upon. You should document and support any decisions made to ameliorate any hazardous conditions. 4. Develop written operating procedures. For many research or laboratory operations, written operating procedures do not exist. Each and every process requires written procedures that in particular, highlight emergency protocols and shutdown procedures. In addition, written procedures for maintenance operations must be developed since very often it is during maintenance that the greatest likelihood of chemical exposure exists. Operations that require the participation of students, graduate students, or many different personnel require written operating procedures, in particular, checklists to assure that all necessary steps are followed for start-up, shutdown, and emergencies. a) The PHR committee should develop and implement written operating procedures that provide clear instructions for safely conducting activities involved in each covered process
Plasma Processing Hazards 335 consistent with the process safety information and should address at least the following elements: • steps for each operating phase • initial start up • normal operations • temporary operations b) Emergency shutdown including the conditions under which emergency shutdown is required, and the assignment of shutdown responsibility to qualified operators to ensure that emergency shutdown is executed in a safe and timely manner. c) Emergency operations d) Normal shutdown e) Operating procedures should be readily accessible to employees who work in or maintain a process. f) The employer should develop and implement written safe work practices to provide for the control of hazards during operations such as lockout/tagout, (OSHA regulation 29 CFR 1910.1477), opening process equipment or piping, and control over entrance into a facility by maintenance, contractor, laboratory, or other support personnel. These safe work practices should apply to employees and contractor employees. 5. Safety and health considerations must be documented and Material Safety Data Sheets (MSDS) made available in accordance with appropriate regulations. Federal Regulation 29CFR 1910.1200, Hazard Communication, requires that the hazards of all chemicals produced or imported be evaluated, and that information concerning their hazards is transmitted to employees and employers. a) The properties of, and hazards presented by chemicals used in the process must be evaluated and material safety data sheets (MSDS) of all
336 Semiconductor Safety Handbook chemicals used must be obtained from suppliers. All manufacturers and importers of chemicals or chemical mixtures must provide a MSDS to the user. The MSDS contains information on exposure limits, chemical reactivity, fire and explosion hazards, information about health hazards, spill procedures and personal protective equipment requirements. b) Precautions necessary to prevent exposure, including engineering controls, administrative controls, and personal protective equipment should be reviewed. c) Control measures to be taken if physical contact or airborne exposure occurs need to be developed. Establishing a line of communication with the local poison control center and hospital emergency room coordinator are imperative since many of the chemicals used in semiconductor processes have unique hazards that emergency personnel may not be familiar with. d) Any special or unique hazards need to be identified and controls implemented. e) Safety systems and their functions must be specified including provisions for calibrating monitors on a regularly scheduled basis, and checking the functionality of interlocks, pressure relief devices, scrubbers or any other safety equipment. 6. Training is an important component to assure the safe and continuous operation of systems. a) Initial training should be conducted which provides an overview of the process and in the operating procedures. The training should include emphasis on the specific safety and health hazards, emergency operations including shutdown, safe work practices applicable to the employee’s job tasks, and use of personal protective equipment if required.
Plasma Processing Hazards 337 b) Refresher training should be provided at least every three years, and more often if necessary, to each employee involved in operating a process to assure that the employee understands and adheres to the current operating procedures of the process. The employer, in consultation with the employees involved in operating the process, should determine the appropriate frequency of refresher training. 7. Inspection and testing procedures must be identified and should be consistent with applicable manufacturers’ recommendations, good engineering practices, and more frequently if determined to be necessary by prior operating experience. All inspections and tests should be documented. 8. Management of change is particularly important in a research environment where equipment is routinely modified, upgraded, or the process changed. The PHR committee should establish and implement written procedures to manage changes (except for “replacements in kind”) to process chemicals, technology, equipment and procedures, and changes to facilities that affect a covered process. The procedures should assure that the following considerations are addressed prior to any change: • impact of change on safety and health • modifications to operating procedures. Employees involved in operating a process, and maintenance and contract employees whose job tasks will be affected by a change in process should be informed of, and trained in, the change prior to start up of the process or affected part of the process. 9. Plans must be made for management of waste. What waste effluents are generated and are they gas, liquid, or solids? How will the waste be handled (neutralized, adsorption, packaged, and shipped for off-site disposal, etc.)? The method of waste treatment, storage and disposal must be reviewed with personnel familiar with all applicable regulations.
338 Semiconductor Safety Handbook Federal and state regulators have enacted many laws and regulations designed to control waste disposal “cradle to grave.” A hazardous waste may be any waste that poses an actual or potential threat to the public or environment and it is the generators responsibilities to manage waste in compliance with applicable regulations.
4.0
OTHER MECHANISMS TO ADDRESS EQUIPMENT SAFETY CONCERNS
Other important guidelines for evaluating the acceptability of semiconductor processing equipment are issued by Semiconductor Equipment and Materials International (SEMI). SEMI S2-93-A, Product Safety Guidelines, “is intended as a minimum set-of-performance based environmental health and safety requirements for equipment utilized in semiconductor manufacturing.”[8] These guidelines define minimum safety considerations manufacturers should adhere to when they sell process equipment. Some of the items included in S2-93-A are: • chemical hazards • exposure to radiation • electrical hazards • physical hazards • fire and explosion and ergonomics among others. SEMI also publishes safety guidelines for Leak Integrity of Toxic Gas Piping Systems, Guide for Gaseous Effluent Handling, and Segregation/Separation of Gas Cylinders Contained in Cabinets, among others. An important component of any important process hazard review is testing and examination of the finished product to ascertain conformance to the standard that is applied. Some states such as North Carolina, require that electrical equipment be evaluated and tested by a nationally recognized testing agency before it may be hooked up in public sector facilities such as universities. As noted at the beginning of this discussion, these issues are only some of which that need to be covered to address process safety concerns. Careful, thoughtful planning will reduce risks to an acceptable level as long as personnel take the time to assess the various deficiencies potentially associated with their process or equipment.
Plasma Processing Hazards 339 5.0
GAS CHEMICAL SAFETY
The list of chemicals and gases used in plasma processing changes continuously. Gases used to etch silicon oxides, nitride, aluminum, and other films generally contain fluorine, chlorine, or bromine and investigators are currently evaluating hydrogen iodide based chemistries. Table 1 lists some of these gases and their reported applications.
Table 1. Reported Applications for Halogen Containing Plasma Etching Gases* Silicon Silicon Metal III-V Organic Silicon Dioxide Nitride Metals Silicides Compounds Materials Fluorine Containing CF4 C2F6 CHF3 NF3 SF6 SiF4
• • • • • •
Chlorine Containing CFCl3 CF2Cl 3 CF3 Cl C2F5 Cl CCl4 CHCl3 Cl2 HCl BCl3 SiCl 4
• • • • • • • • • •
Bromine Containing CF3Br HBr Br2
• • •
• • • • •
•
• •
• •
• •
• • •
• • •
• • • •
• •
• • •
•
• • • • • •
•
*Semiconductor International, March 1988[9]
• • •
• • • •
• • •
• •
• • • • •
340 Semiconductor Safety Handbook Many of these materials are acutely toxic upon inhalation and very corrosive to the skin, eyes, and mucous membranes. Inhalation may also result in insidious adverse effects such as delayed respiratory impairment. In other words, exposure to these materials could result in impairment many hours after inhalation which mandates that any personnel that inhale these materials be evaluated by a qualified physician. There have been many comprehensive assessments of the health hazards associated with various plasma processing operations. IBM personnel have analyzed debris from vacuum pumps and reaction chambers, pump oil contaminants, collected air samples to assess inhalation exposure, and analyzed effluent emitted into exhausts.[10] Their data confirm that a myriad of potentially hazardous materials may be found in any part of the equipment potentially contacted by process gases. Some of the contaminants they found are listed in Table 2.
Table 2. Toxic By-products Found in Various Plasma Processing Operations
Feedstock Chemicals
Contaminants
Location
NF3/CF 4
free fluorides
before vacuum pump after vacuum pump
BCl3 /Cl2
free chlorides
before vacuum pump after vacuum pump
O2/CF4
HF
debris from vacuum pump extract port
CHCl3 /BCl3/Cl 2/O2
CNCl, HCl, HCN, pentachlorobenzonitrile and other compounds
Yellow polymer in reaction chamber of aluminum etcher
BCl3 /Cl2/HCl/Ar/O2
boric acid, silica
white/yellow debris in reactor chamber of poly silicon etcher
In the IBM tests, measurements of inorganics including HCl, HF, HCN, CHCl and organics were generally less than 10% of the Threshold Limit Value (TLV). No personnel exposures were found to exceed 20% of the TLV or TLV-Ceiling.
Plasma Processing Hazards 341 Industrial hygienists at Intel have also studied chemical exposures from various plasma etch processes (Tables 3 and 4). Their data confirmed the presence of very toxic reaction by-products but, because of engineering controls, personnel exposures were very low. Inside vacuum pump exhausts which are directly connected to scrubbers concentrations up to 15.2 HCN and above 120 ppm HF were found.
Table 3. Concentration of HF as Measured in Three Different Plasma Etch Processes[11]
Material Being Etched
SiO2
Si3N4
SiO2Si
number of measurements
9
17
15
concentration (ppm) for 2 minutes
0–0.6
0.4–1.6
0
average concentration (ppm) for 2 minutes
0.189
0.829
0
maximum concentration (ppm) for 4 seconds
0
12.3
0
time for HF to dissipate below limit of detection (minutes)
2
2
2
Table 4. Concentration of Hydrogen Cyanide (HCN) in the Breathing Zone During Nitride (Si3N4) Etch Process[11] Plasma source gases included O2, Ar, He, SF4
Wafers Coated With Si 3N4
Bare Silicon (Si)
number of measurements
22
21
concentration range (ppm)
0.5–1.5
0–0.5
maximum concentration (ppm) 4 seconds
10.7
3.1
average concentration for 1 minute
0.905
0.143
maximum 2 min.
1 min.
time for HCN to disappear
342 Semiconductor Safety Handbook Other studies to assess contaminants in vacuum pump oils have also been conducted. In one such study (Table 5) many by-products, most with unknown toxicological properties, were found. Several of the identified compounds such as hexachloroethane and methylene chloride are suspected human carcinogens.
Table 5. Contaminants in Plasma Etch Process Pump Oil[12] Type of Process Silicide etch
Feedstock Gas(es)
Contaminants
Concentration (ppm)
Freon 11, Cl2, NF3 1,1 difluoro-tetrachloroethane 8 acetic acid 6 fluoropentachloroethane 15 1, 2, 2, trifluoropentachloropropane 4 hexachloroethane 12 fluorochlorocarbons 1–10
Aluminum etch Freon 13, 14, 116, hexachlorobutadiene Cl2, BCl3 hexachlorobenzene methylene chloride perfluoroalkylethers bromobenzene
8 2 3 1–2 <1
In another study, an evaluation of hydrogen fluoride formation from the reaction of silicon tetrafluoride with humid air was evaluated.[13] The general consensus among safety and health professionals in the semiconductor industry is that SiF4 will form HF in contact with moist air. However, in this particular study HF was not found as a reaction by-product although the products that were formed were not identified. This report serves to emphasize the point that toxic by-products evolved from various plasma processing operations may be counter intuitive since you might not have the by-products that are expected. The Intel and IBM studies demonstrate that nontoxic feedstock gases react during the various processing operations to generate chemicals of known toxicity, as well as many constituents of unknown hazard. These studies emphasize that the unwary may be
Plasma Processing Hazards 343 exposed to very toxic materials during all phases of plasma processing operations. Several manufacturers have confronted the use of hazardous materials head-on and amended plasma processing operations. In one such instance, AT&T modified an aluminum etch process because the chemistry was based on carbon tetrachloride (CCl4), a known carcinogen. The quality of the aluminum etch was good and high production volumes of wafers were being etched in three etch system, all using CCl4. Early in the development of the CCl4 aluminum etch process, it was observed that a strong medicinal odor was generated when the reactor was wiped with Kim Wipes® dipped in warm DI water. The cleaning procedure removed all traces of the film, and an oxygen plasma was used to dry the reactor before the aluminum etch was run (without any observable effect from the water wipe of the reactor). Because of the odor, proper respiratory protection and gloves were mandatory for the cleaning operation. It was found that the strength of the odor could be lessened significantly if an oxygen plasma was run in the chamber before the reactor was opened for cleaning after ten etch runs. The impetus to identify the chemical responsible for the odor was strengthened when it was observed that the building blocks— chlorine, carbon and oxygen—of phosgene were present in the reactor. Analysis of the powders from the reactor chamber were shown to consist of major constituents (CCl4, C 2Cl6, C4Cl8, aluminum and chloride), minor components (C2Cl4, C3Cl4, C4Cl6, and higher weight halocarbons) and trace chemicals C2Cl3, and iron), as shown in Table 6. The inorganic aluminum and iron came from the wafers and the reactor surfaces, respectively, and the chloride from the abundant chlorine etch source. All the organic chemicals that were identified are chlorocarbon combinations from the source gas. The results of contaminants from the other four parts of the system are given in Table 7. The results of several independent investigations gave identical results. It was determined that the insecticidal odor came from hexachloroethane, C2Cl6 , that was liberated when the system was wiped with the warm water. None of the investigations found any trace of phosgene. The feed gas CCl4 is a colorless nonflammable liquid that has a characteristic odor. Oxidative decomposition by flame causes phosgene and HCl to form. Carbon tetrachloride has been identified as a human carcinogen and an insidious property is its high percutaneous absorption. The TLV is 5 ppm and the IDLH is 300 ppm. Excessive exposure results in central
344 Semiconductor Safety Handbook nervous system depression and acute exposure may lead to liver and kidney damage.
Table 6. Aluminum Etch System Reactor Chamber Contaminants POWDERS FROM ETCHER ORGANICS 1. 2. 3. 4. 5. 6. 7. 8.
Carbon Tetrachloride (M) Trichloroethylene (T) Perchloroethylene (m) Tetrachloropropldiene (m) Hexachloroethane (M) Hexachlorobutadiene (m) Octachlorobutene (M) Higher Weight Halocarbons (m)
CCl4 C2Cl3 C2Cl4 C3Cl4 C2Cl6 C4Cl6 C4Cl8
INORGANICS 1. 2. 3.
Aluminum (M) Chloride (M) Iron (T)
M=major component, m=minor component and T=trace constituent (just above threshold detection limit of the measuring instrument).
The first daughter plasma product of CCl4, hexachloroethane, is colorless solid with a camphorlike odor that sublimes at 189°C. It has also been identified as a human carcinogen. C2Cl6 acts primarily as a central nervous system depressant, resulting in narcosis in high concentrations. There is a consensus of opinion among toxicologists that exposures to mixtures containing multiple suspected carcinogenic substances for even low doses may be much worse than a single large exposure. In addition, most of the high order chlorocarbon organic compounds are hazardous, toxic, carcinogenic, or combinations of these. Based on that opinion, it was decided that carbon tetrachloride would not be used at AT&T. During the time devoted to the identification of the hazardous agents, the aluminum etch process was shut down. An intense 4-week interval was experienced by
Plasma Processing Hazards 345 engineering trying to respond to manufacturing’s loss of shipments of product. Fortunately, an alternative chemistry was introduced to plasma etch aluminum that proved to be superior to the CCl4. A similar analysis was conducted for the SiCl4 process without the identification of any carcinogenic chemicals. Silicon tetrachloride is a hazardous material in its own right because of its corrosiveness (Table 8). This is a good example of the solving of the risk-cost-safety equation. AT&T believed the risk of using CCl4 chemistry outweighed the benefit, and that the process could not be made safe. A substitute, SiCl4, could be dealt with in a safe way to assure the safety of workers and the environment.
Table 7. Aluminum Etch System Contaminants
COLD TRAP 1. 2. 3. 4. 5.
Carbon Tetrachloride (M) Perchloroethylene (M) Hexachloroethane (m) Trichloroethylene (m) Freon TF (m)
BUBBLER WATER CCl4 C2Cl4 C2Cl6 C2Cl3 C2Cl3 F3
ORGANICS 1. Trichloroethylene (m) 2. Freon TF (m) INORGANICS 1. Chloride (M)
PUMP OIL
1. 2. 3. 4.
Carbon Tetrachloride (M) Perchloroethylene (m) Trichloroethylene (m) Freon TF (T)
C2Cl3 C2Cl3
AIR SAMPLES FROM EXHAUST STACK CCl4 C2Cl4 C2Cl3 C2Cl3 F3
1. 2. 3. 4. 5.
Freon TF (M) Methylene Chloride (m) Trichloroethane Trichloroethylene (M) Perchloroethylene
C2Cl3F3 CH3Cl C2H3Cl3 C2Cl3 C2Cl4
346 Semiconductor Safety Handbook Table 8. SiCl4 Residue Analysis
5.1
Chamber:
White powder analyzed to be combinations of AlCl3, AlCl 3•6H2O, Al 2O3, SiO2, Al(ClO3)3 •6H2O No chlorocarbon compounds detected
Cold Trap:
HCl, SiCl4, Al2O3, SiO2, AlCl3 , AlCl3 •6H2O, Al(ClO3)3 •6H2O
Pump Exhaust:
SiCl4, CF4
Compressed Gas Cylinder Safety
Compressed gas cylinders are constructed according to Department of Transportation Specifications (DOT). Containers may be filled only by the cylinder owner, must be maintained by the owner, must be properly labelled prior to filling, and valve outlet connections must be in accordance with ANSI Standard B57.1. A leaking or defective cylinder cannot legally be shipped, nor can cylinders that have been exposed to a fire unless they have been checked in accordance with Compressed Gas Association (CGA) requirements. In the past several years there have been several compressed gas cylinder accidents in the semiconductor industry which have resulted in fatalities. In one instance, a container of arsine was being handled by a cylinder reconditioning company. During preparation for cleaning the cylinder which was thought to be empty, arsine was released killing an employee. Many universities and companies still label cylinders with tags of full or empty. “Empty” compressed gas cylinders are almost never empty and must be handled as if they were full and with the respect they deserve. A better designation for a cylinder that has been in and taken out of service is “used.” In another incident, a cylinder of silane detonated while two personnel of an analytical company were analyzing the contents of a cylinder. In this incident, it is surmised that a semiconductor manufacturer inadvertently cross-contaminated the silane with an oxidizer. The silane was originally used by semiconductor company (A) where the contamination probably
Plasma Processing Hazards 347 occurred. The cylinder was shipped back to the supplier and refilled and shipped to manufacturer (B). Why the supplier did not fully empty or decontaminate the cylinder is not known. Manufacturer B could not get the gas to work in its process and returned the cylinder to the supplier. The supplier shipped the cylinder to the analytical lab to have the contaminants analyzed. The cylinder detonated at the laboratory killing two employees and demolished the building. This incident highlights an all-too-common phenomena: the accidental cross-contamination of cylinders which can easily be prevented. A compressed gas should never be transferred from one container to another and a person should take all precautions to assure that cylinders do not become cross-contaminated. Never rely solely on check valves to preclude cross-contamination. Never purge a high pressure system with a lower pressure or house system. Take all precautions necessary to assure that incompatible gases do not mix and always immediately notify the vendor if you suspect a cross-contaminated cylinder. All major compressed gas vendors have emergency response personnel capable of handling a cylinder emergency. In fact, it is wise to assess and determine the vendor’s emergency response capability prior to purchase. Emergency response capability of the vendor should be as important as process considerations. The technology of cylinders and cylinder valves is continually changing. Not only are vendors filling cylinders of semiconductor process gases in cleanrooms but they are also checking for impurities and particles to assure their products meet stated specifications. Cylinder valve technology is also becoming more sophisticated. Many suppliers now offer cylinders with pneumatically controlled normally closed cylinder valves. The normally closed valve can be opened remotely by a pressurized gas which is fed into the valve by a plastic line. Pneumatic valves offer the advantage of remote operation and can be tied into toxic gas monitors, power failure alarms, smoke and fire alarms, or other safety systems to assure positive cylinder shutoff in case of accident or incident. However, many normally closed valves have soft seats which are easily deformed, especially by excessive heat. Systems using these valves must be protected by sprinklers. Suppliers are also now offering diameter-indexed cylinder connections with gasketed or face seal fillings to assure system integrity when process gases are hooked up. Some of these connections now have a leak port so that system integrity may be assessed at the CGA connection. Check availability of these systems from your supplier. Most suppliers will
348 Semiconductor Safety Handbook meet your specific needs upon request and make available safety devices upon request.
5.2
Flow Restrictors
One of the most important safety components on any high pressure compressed gas system is the flow restrictor. There are two types of flowrestricting devices: an excess flow switch and a flow restrictor. The excess flow switch can be either mechanical or electromechanical and has an excess flow sensor which trips a valve, shutting off gas flow when a preset limit is exceeded. The restrictor is a passive device (limiting orifice) which is sized to limit the flow of gas to a predetermined rate. Passive flow restrictors are especially attractive since their only failure mode, clogging, precludes the passage of gas, which is preferable to a catastrophic, unrestrained leak. Excess flow switches are mechanical devices designed to limit gas flow and generally are complex, with many moving parts which have the possibility of failing and allowing gas release. The advantage to the active shutoff mechanism in the excess flow switch, however, is that when activated, gas flow completely stops. The flow restrictors used by the semiconductor industry generally are placed upstream of the pressure regulator, either in the compressed gas association (CGA) orifice or in line. The goal when selecting a restrictor is to deliver the amount of gas required for the process, sized in consideration of the lowest pressure at which the cylinder will be used. Concurrently, the restrictor must be of a size that limits the flow at high cylinder pressures to a rate at which exhaust ventilation or other treatment systems can provide adequate dilution in case of failure in a component of the gas system. For some pyrophoric gases, there is evidence that the use of a restrictor can mitigate the hazard associated with unrestrained gas release. Because of the acute toxicity and pyrophoricity of some of the gases used in industry, flow-limiting devices are recognized by several building and fire codes as acceptable control devices to mitigate the consequences of hazardous gas release. The Uniform Fire Code,[14] Article 80 Section 80.303(D) acknowledges this when it specifies that, “treatment systems shall be utilized to process all exhaust ventilation to be discharged from gas cabinets, exhausted enclosures or separate storage rooms. Treatment systems shall be designed to reduce the maximum allowable discharge concentration of the gas to one half the immediately dangerous to life or
Plasma Processing Hazards 349 health (IDLH) level at the point of discharge to the atmosphere....” Section 80.303(D)(iv) states that, “when portable tanks or cylinders are equipped with approved excess flow or reduced flow valves, the worst case release will be determined by the maximum achievable flow from the valve....” The applicability is evident using 10% arsine in hydrogen as an example: a full compressed gas cylinder at 2000 psig will vent through a 0.006-in. orifice in 7 hr, assuming catastrophic downstream failure. Without the orifice the cylinder will vent in less that 4 min (Figs. 2 and 3). Figure 2 compares the pressure versus time curves for venting cylinders through a severed 1/4-in. line and through the 0.006-in. orifice. The former leak could be handled (to onehalf the threshold limit value—TLV®) by several commercially available treatment systems. The latter most probably could not be.
Figure 2. Pressure versus time for catastrophic release of nitrogen through a severed ¼ inch line and through a 0.006 inch orifice.
3-Jan-2000
350 Semiconductor Safety Handbook
Figure 3. Volume of gas released versus time for catastrophic release of nitrogen through a severed ¼ inch line and through a 0.006 inch orifice.
Other organizations including SEMI, have flow-limiting guidelines. Their guideline is “intended to suggest a method for the reduction of the hazard from explosions, fires and hazardous release inside and outside semiconductor plants, in storage areas and in transportation, and to limit the rate of release of hazardous gases from the gas cylinder valve outlet during transportation, storage and use.” The guideline specifically covers flowlimiting methods (orifices) for valves on compressed gas cylinders containing hazardous gases.
5.3
Gas Flow through Limiting Orifices
The physical characteristics that limit gas flow through an orifice are size of the orifice, pressure of the system, molecular weight, density, and
3-Jan-2000
Plasma Processing Hazards 351 specific gravity of the gas. Figure 4 shows the flow rate of nitrogen as a function of cylinder pressure through a 0.006-in. orifice. For comparison, the cylinder valve opening may be as large as 0.281 in. for a CGA 350 fitting used with silane gas.
Figure 4. Flow rate (standard liters per minute) versus pressure for nitrogen through a 0.006 in. orifice.
Flow rates of nitrogen at 2500 psi are 65 000 L/min through a 7.13 mm (0.281-in.) cylinder valve opening; 27 000 L/min through a severed 0.89 mm (0.035-in.) wall thickness, 6.35 mm (1/4-in.) tube; and 30 L/min through a 0.006-in. limiting orifice. These are instantaneous flow rates which decrease as the cylinder pressure decreases. The decrease in the first two instances is very rapid as the cylinder quickly vents. At 150 psi the flow rate through the 0.006-in. orifice is 2.8 L/min nitrogen. This must be taken into consideration when sizing the orifice for process flow.
3-Jan-2000
352 Semiconductor Safety Handbook 5.4
Sizing the Orifice
The flow versus pressure curve shown in Fig. 4 can be used to size limiting orifices properly for different gases, gas mixtures, and required flow rates. To determine flow rates for gases other that nitrogen, use Fig. 4 to determine the flow rate at the desired pressure through the 0.006-in. orifice. Next, use the correction formula below to find the flow for a gas of different molecular weight. 1
Eq. (1)
28 × Flow rate for nitrogen Flow rate for gas = M( x) 2
where M(x) is the molecular weight of gas x. For gas mixtures the effective molecular weight is determined as follows:
Eq. (2)
M (mix) =
∑i f i Mi
where fi is the volume fraction of Gas i, and M i is the molecular weight of Gas i. As an example, the flow rate through the 0.006-in. orifice of a 10% arsine in hydrogen mixture would be 4.8 L/min at 150 psig and 51 L/min at 2000 psig. Flow through an unrestricted 6.35 mm (0.25-in.) process line with a wall thickness of 0.89 mm (0.035 in.) would be 6300 L/min. The flow of germane (GeH4) through the same orifice would be 1.7 L/min at 150 psig. Figure 2 can be used to find the orifice size needed to give a certain flow at a given pressure. First, the flow rate for nitrogen at the desired pressure must be found and the flow for the gas used corrected:
Eq. (3)
Size 2 =
flow 2 × 0 .006 flow 1
where size 2 is the new orifice size (in inches) at flow rate 2 (flow 2). Flow 1 is the flow rate determined from Figure 2 for nitrogen with the 0.006 inch orifice.
Plasma Processing Hazards 353 Continuing the example of GeH4 above, with a minimum acceptable flow rate of 3 L/min, the orifice would need to be increased to 0.008-in. diameter (the flow rate scales as the area of the orifice).
5.5 Orifice Purging During gas cylinder change, it may be important to purge the process gas from behind the CGA fitting. This is especially important in certain processes where any contamination could adversely affect the process. Also, some gases, such as silane (SiH4), may form particulates (SiO2) upon contact with air, which may clog the restrictor and coat the seats of valves. The process of purging has been evaluated with and without limiting orifices in place.[15][16] The most efficient type of purge is the cycle purge. During cycle purging, a succession of pressurizations and evacuations is used to dilute the process gas concentrations. The three most important parameters to ensure adequate cycle purging are the pressure differential between the evacuation stage and the backfill stage, the duration of the cycles, and the number of cycles. The inability to purge the volume behind the orifice adequately often is cited as a reason for not using an orifice with semiconductor process gases. The safety advantages of these devices can be seen in the release rates of a compressed gas from cylinders under pressure. The orifice is an effective, inexpensive, and easy to use safety device which should be used more often to mitigate the consequences of catastrophic gas release. Almost all compressed gases, with the exception of low vapor pressure materials, such as chlorine and boron trichloride, may be used successfully with a limiting orifice.
5.6
Gas Storage Locations and Gas Cabinets
The desire of every process engineer and researcher is to keep the process gas as close to the point of use as practicable. However, because of the toxicity, pyrophoricity and other hazards of many of the process gases, this may not be desirable and may not be allowed by building code. In most manufacturing facilities and in many newly designed research operations, gases are maintained in cabinets remote from the point of use. While this increases length of piping runs, gases may be adequately protected in case of a fire in the facility, and in turn, a gas leak or incident can be isolated from the facility. The most preferable gas cylinder storage (storage denotes
354 Semiconductor Safety Handbook cylinder in use) areas include roof mounted or outside ground level cutoff rooms. In both instances rooms should be designed to be physically isolated from the structure by fire separation walls and floors (building codes refer to compartmentalized areas of special fire resistive construction adjacent to buildings or on the exterior perimeter of buildings as “cutoff” rooms). Cutoff areas must have at least two means of egress and be easily accessible for cylinder delivery. In addition, emergency power, sprinklers, gas detection in case of leak, dedicated fans for cabinets, breathing air systems for use when changing cylinders and separation of incompatible gases are now all considered the norm and may be required by code. Many vendors now sell gas cabinets equipped with self-closing and latching doors and windows, sprinkler heads and various types of purge assemblies (see Fig. 5). Cabinets should be provided with a minimum flow of 100 feet per minute through the louver on the cabinet bottom. When the window is opened, the face velocity through the window should be maintained at 100 fpm. Purge assemblies may contain many different types of safety devices. An emergency shutoff valve should be located as close to the cylinder as is practicable. An air operated remotely activated cylinder valve is more preferable. System shutoff may be by manual activation of a remote switch in the laboratory or fabrication area or caused by other system defaults interlocked to gas flow. System defaults may include: • Excess flow—measure by a gauge or switch • Gas monitoring system activation • Ventilation failure • Smoke/fire detection • Sprinkler activation • Power failure The exterior of all cabinets should be labelled with the cylinder contents, piping schematics maintained up to date and written operating procedures maintained. Pipe fittings should be kept to a minimum and the use of coaxial process piping runs from cabinets to equipment is preferable. Piping should be either double walled or run in a ventilated chase. All piping and chase runs should be labelled every ten feet with the type of gas. All pipe fittings should be in a zone of local exhaust so it is preferable to terminate pipe runs in a ventilated enclosure at the process end. All piping should be adequately leak checked when installed.
Plasma Processing Hazards 355
Figure 5. Gas cabinet automated purge control. (Photo courtesy of Matheson Semi-Gas Systems.)
356 Semiconductor Safety Handbook 5.7
A System Approach to Gas Safety
Most manufacturing facilities and many industrial research operations now use a systems approach to gas safety. One such system is shown in Fig. 6. This system has the following features and safeguards: Gas Room: • Chosen approach: Roof mounted gas room. • Microprocessor-controlled gas handling panels minimize the concern of operator error. • Restrictive CGA orifices and electronic excess flow sensors mandatory in all gas panels. • All gas cylinder cabinets have continuous exhaust, heat sensors, sprinklers, and where applicable, toxic monitors. Hazardous Gas Handling • All gas lines are contained in exhaust chases. • Gases are distributed in continuous length seamless stainless steel tubing. • All corrosive gases piped in coaxial tubing. • Interlocked safety shutoff valves are used on all lines at the point of lab penetration. • Control and status of gas handling panels are provided in the lab at the microprocessor control panel (PAD 959). • No manual valve control is available at the gas cabinet. Status and procedure acknowledgment is provided by a remote annunciator panel (PFD 959 Remote) Hazardous Condition Protection • All toxic gases are monitored at points of storage and use. • House hydrogen is monitored at all points of use. • The alarm response processor provides automatic shutoff of gas lines and panels, and relays hazardous condition information to annunciator panels in the building control room. • All monitor information is documented daily by the automated data acquisition system.
Plasma Processing Hazards 357
Figure 6. Systems approach to gas safety.
358 Semiconductor Safety Handbook 5.8 917 Alarm Response Processor The 917 alarm response processor is the hub of the hazardous gas alarm system. It is a dedicated microprocessor designed to interpret and alarm condition inputs from various sensors and to respond with proper shutdowns while disseminating condition information to the annunciator panels. The 917 receives input from toxic and hydrogen monitors as well as selected facilities alarms. The system has the capability of controlling the 959 gas panels and 904 series wall valves in all labs. It relays alarm condition information to annunciator panels located in the building control room and the building foyer. This versatile software-based system indicates not only alarm conditions but also the appropriate response to control room and emergency personnel. Another important function of the 917 is that it enables the use of a single desktop computer to program and monitor the status of the 959 panels and toxic monitors.
5.9
PFD 959 Gas Panel Controllers
The PFD 959 gas cabinet controllers are placed in the labs. A 959 remote panel is mounted on top of the gas cabinet. These controllers receive direct inputs for the following conditions: 1. Low purge gas tank pressure 2. High cabinet temperature 3. Low vacuum generator supply pressure
5.10 904A Wall Valves Each toxic gas user has a set of 904A wall valve units placed on the wall of the lab at the point where the gas lines and the surrounding chases penetrate the ceiling. These pneumatic high-pressure valves can be operated automatically through the 917 or manually through the 904A Reset Panel. Each H2 user has normally closed pneumatic valves in the lab where the H2 line penetrates the wall. Whenever the 904A receives instructions to shut off the valves, that closure is latched, and must be reset manually at the 904A Reset Panel. When operated automatically, all valves will close, as they are individually addressable only in manual mode. The number of valves will depend on the user.
Plasma Processing Hazards 359 5.11 Loss of Essential House Services The gas handling systems (917, 959, 904) sense and respond to several hazardous conditions caused by the loss of the following house services: 1. Loss of power 2. Loss of H2 pressure 3. Loss of laboratory exhaust
5.12 Action-Reaction 1. Loss of power: All gas panels shut down (normal valve positions) and remain off until reset by the user. All monitors and associated equipment (904, 917, 959) boot upon restoration of power and become totally functional without user interaction. Before toxic gas can flow, the 904 wall units must be reset manually by the user. 2. Loss of Exhaust: a. Gas room exhaust: The gas room exhaust is sensed by the 917 through units installed in the gas room exhaust headers. Upon loss of this exhaust, the 917 shut down all panels by sending a signal to the 959s, and shutting off all gases at the 904 wall valves. Operation is resumed by normal reset sequence. Annunciator panels are notified. b. Laboratory exhaust: The building exhaust is sensed by units installed in the two main headers. Upon loss of this exhaust, all gases, including hydrogen were shut down. This involves shutting down the panels via the 959s, shutting the wall valves via the 904s, and shutting the hydrogen off via the valves in each lab located where the H2 line penetrates the lab. Annunciator panels are notified. Operation is resumed by normal reset sequence.
360 Semiconductor Safety Handbook 6.0
TOXICOLOGY
6.1
History and Development
Toxicology, describable as both a science and an art, encompasses many disciplines including physiology, pharmacology, biology, chemistry, biochemistry, pathology, medicine, genetics, environmental science, risk assessment/analysis, risk communication, and regulatory/policy formulation. Toxicologists find careers in many areas: biomedicine, forensic medicine, and clinical medicine; public and occupational health, including development and application of standards and regulations; environmental health, including protection of flora and fauna from adverse chemical impact; and drug, chemical, and pesticide development. The earliest toxicologists were actually poisoners who relied on plant, animal, and mineral toxins in hunting and in political exercises such as war and assassination. The earliest record of such activities, the Ebers papyrus dating to circa 1500 B.C. , references hemlock, opium, lead, copper, and antimony. During antiquity, poisons were also identified as and utilized in therapy and as antidotes. The first law against poisoning is attributed to Sulla of Rome who issued the Lex Cornelia circa 82 B.C. ; the Lex evolved from addressing large-scale social or political poisoning to addressing careless drug dispensing. The Middle Ages saw refinement and commercialization of the toxicologist/poisoner as a social and political weapon. Among the toxins developed to achieve specific marital and monetary ends were arsenic-based cosmetics. Deliberate testing of toxins led to observations of potency, site of action, clinical signs and symptoms, etc. In the late Middle Ages, the Age of Enlightenment, Paracelsus (1493–1541) contributed the concept of chemical entities as toxic agents, the fundamental observation of dose-response relationships, the earliest discussion of occupationally-related human disease (Miner’s disease) and the oft-cited quote: All substances are poisons; there is none which is not a poison. The right dose differentiates a poison from a remedy. From this point in history, substantial contributions to the fields of toxicology increased dramatically, and each contribution can be linked to a basic concept of toxicology (e.g., mechanism of action) and/or a specialization in toxicology (e.g., forensic toxicology). A good source of additional
Plasma Processing Hazards 361 information is the History and Scope of Toxicology in Casarett and Doull’s Toxicology.[17]
6.2
Occupational Toxicology
Occupational toxicology focuses on identifying and preventing effects of hazardous materials in employee populations. The scope of occupational toxicology extends, however, beyond the workforce to the workers’ families, to the surrounding community, and to the population(s) which may subsequently encounter the product or material. In theory, any identifiable and/or measurable effect is undesirable. In practice, acceptable exposure levels or limits can be established, and are established, to protect these populations from adverse health effects. The following information presents the very basics of toxicology necessary to support health and safety considerations for plasma processing. This discussion is necessarily brief and the reader is referred to the references for additional information. In the workplace, and for applications that are natural extensions of the workplace, the reader is urged to seek out a toxicologist: many occupational toxicology judgments must be made on a case-specific basis.
6.3
Basic Concepts of Toxicology
The starting points for discussion of the basic concepts of toxicology are the definitions of hazard, exposure, and risk. These definitions are followed by an overview of ADME (absorption, distribution, metabolism, and excretion) of toxins. Hazard. Hazard is an inherent property or ability of a substance to cause an adverse effect or event. Classes of hazards include physical hazards (e.g., compressed gases, explosives, flammables, organic peroxides, pyrophorics, unstable and water-reactive materials) and health hazards (e.g., asphyxiants, irritants, systemic toxins, reproductive toxins, carcinogens). The hazards of a given substance are unaffected by concentration (e.g., an acid is capable of causing tissue destruction regardless of concentration) but dependent on chemical form (e.g., the hazards of elemental mercury differ from those of organic mercurial compounds). In the first example, it is important to recognize the distinction between the quantitative effect (the degree of the hazard) and the qualitative effect (the nature of the hazard):
362 Semiconductor Safety Handbook lowering the concentration of an acid may lessen the extent of tissue destruction but it will not alter the fact of tissue destruction. A substance may present an array of hazards, with the expression of one, some, or all hazards dependent on the conditions of exposure. The expressed hazards may be quite different (as exemplified by the central nervous system stimulation and depression associated with acute and chronic alcohol intake, respectively) or may represent a common underlying mechanism of action (as suggested by the involvement of lipid effects in local skin effects and in organ toxicity of certain organic solvents). Exposure. Exposure defines contact of a hazard with a specific set of conditions. For physical hazards, exposure defines the conditions under which the hazard will be expressed. For example, methanol must be exposed to temperatures at or above the flash point of 52°F (11°C) in order to express the hazard of flammability. For health hazards (toxicity), exposure defines contact of a biologic system (e.g., a person, an organ, a specific cell in an organ, a segment of genetic material) with a hazardous substance or condition. Toxicity may be categorized in a number of ways, including characterization by target population, by target organ or system, by causative agent(s), or by mechanism of action. Among the exposure components that affect toxicity are route of exposure, frequency and duration of exposure, and magnitude of exposure. Additional factors that affect toxicity include genetic parameters (e.g., enzyme and hormone complements), dietary status, concurrent exposures, age, and ADME (absorption, distribution, metabolism, excretion). A brief discussion of ADME is presented below; for additional details and for information on other factors that affect toxicity, refer, for example, to Refs. 17 and 18. Route of Exposure. A toxin may enter the body in several ways. It may be ingested or inhaled, it may come into contact with the skin or eyes, or it may be administered by injection (typically intravenous, intramuscular, or subcutaneous injection). In occupational settings, the major routes of exposure which are of most concern are inhalation and contact. The lungs have an extensive blood supply designed for efficient absorption of oxygen and, therefore, toxins which enter the lungs by inhalation may easily reach the body’s blood supply; such toxins may, of course, also have local effect on the respiratory system. Similarly, the eyes have an extensive blood supply and may be a source of concern for systemic absorption as well as local effect.
Plasma Processing Hazards 363 The skin is the most massive organ in the human body and presents a large surface area for local damage and for potential absorption of toxins. The integrity of the skin, particularly the skin of the hands, is often affected, i.e., compromised, by the routine activities of life such as bathing. It must be remembered that chemicals which are well absorbed through human skin will often facilitate the dermal absorption of other, more toxic, chemicals. Accordingly, combinations of chemicals encountered in the workplace must be considered by the toxicologist, the industrial hygienist, and the worker. Further challenges to industrial hygiene in the workplace may include improper selection and use of personal protective equipment and even the occasionally prevalent attitude that “real men don’t wear gloves.” Frequency and Duration of Exposure. The frequency and duration of exposure to toxic chemicals play an important role in toxicity. For many toxic chemicals, the adverse health effects associated with a single exposure are different from those associated with repeated exposures. A single exposure to benzene may cause central nervous system depression, while repeated exposures may cause leukemia. Infrequent exposure to ethanol affects the central nervous system while frequent exposure includes the liver as a target organ. Minimizing the duration of exposure and maximizing the time between exposures may reduce toxicity because of the body’s defense mechanisms, including the ability to detoxify and/or excrete foreign substances. These defense mechanisms may, however, be overwhelmed when the frequency, magnitude, and/or duration of exposure is/are too great. This is the case for certain chlorinated solvents for which target site concentration is a function of chemical excretion. Duration of exposure is also important for acute toxins such as corrosives, for which the severity of the response is a direct function of the duration of contact between the corrosive and the biological tissue. Magnitude of Exposure. The magnitude of exposure (i.e., dose or concentration) also plays an important role in toxicity. Toxic responses are rarely all-or-none responses and can represent a wide range of effects. This range is often described by a dose-response relationship. The magnitude of exposure controls the dose-response relationship because it determines the amount of toxin at the body’s target site. Magnitude of exposure therefore controls both the expression of a response (will it happen?) and the degree of response (how severe will it be?). To define a dose-response relationship, the toxic response must be a measurable one (e.g., blood pressure).
364 Semiconductor Safety Handbook Risk. Risk is the probability that an adverse effect or event will result from a given set of exposure conditions; it is a mathematical probability and so ranges from zero (no possibility of adverse effect or event) to one (certainty of adverse effect or event). It is important to keep in mind that risk is the mathematical product of hazard and exposure. RISK = HAZARD × EXPOSURE Multiplying by zero forces a product of zero, and this equation therefore means that an extremely hazardous substance may present little risk of adverse effect when it is handled under safe and prudent conditions, i.e., when the exposure component of risk is driven toward zero. In occupational settings, exposure, and therefore risk, is controlled or minimized with prudent work practices, engineering controls (e.g., laboratory design, exhaust ventilation), and, if necessary, personal protective equipment. These measures, listed here in preferred order of implementation, are typically the bailiwick of industrial hygienists. Risk can similarly be driven toward zero by driving its hazard component toward zero. This is typically accomplished by process design (using the least number of chemicals of the least possible toxicity) and process redesign (substituting with less toxic materials). The form of a given chemical may be selected to minimize physical and health hazards, e.g., using the metalorganic tertiarybutylarsine rather than the hydride gas arsine. Factors that affect toxicity must be included in these efforts because the hazard of concern may vary with the conditions under consideration, i.e., routine vs. unexpected (catastrophic) events. The Occupational Exposure Limits section below addresses the acceptable exposure levels or limits which are established and referenced to protect workplace populations from adverse health effects. It should also be mentioned that in nonoccupational settings, acceptable risk is often a matter of judgment and/or a balance between risk and benefit. For example, high risk may be acceptable in lifesaving drugs but unacceptable in food additives. Also, voluntary risks such as swimming may be judged more acceptable than involuntary risks such as exposure to airborne pollutants. Risk-benefit analyses may consider the availability of acceptable substitutes (e.g., unleaded vs. leaded gasoline), the extent of exposure, economic costs or savings, and effects on environmental quality and conservation of natural resources.
Plasma Processing Hazards 365 Absorption, Distribution, Metabolism, Excretion (ADME). Absorption, distribution, metabolism, and excretion are factors critical to toxicity. They are often described by the sciences of toxicokinetics (what the body dose to the chemical) and toxicodynamics (what the chemical does to the body). Although each process is summarized separately below, it must be remembered that each factor influences the others in a continuous process of feedback and response. Absorption. Absorption refers to entry of an agent into a biological compartment, e.g., into a body, an organ, a cell. Among the factors that affect the absorption of a chemical are the physical state of the chemical, its degree of ionization, the route of exposure, and the mechanism of toxic action. Cell membranes, lipid bilayers with protein layers, are remarkably similar in all organs and provide the main barrier to chemical absorption. Most absorption which does occur does so by passive diffusion, i.e., a chemical passing across a membrane in an effort to equalize a concentration gradient. Passive diffusion is determined by the lipid solubility of the chemical (often measured as an ethanol/water partition coefficient) because the membrane is lipid in nature and by the degree of ionization of the chemical. The degree of ionization, in turn, is based on the dissociation constant (i.e., the pKa or the pH at which the ionized and nonionic forms are in equilibrium) and the pH of the environment. Given that the non-ionized form of a chemical is typically the more lipid soluble form, conditions (pKa and pH) which favor the non-ionized form will favor passive diffusion. Absorption at cell membranes also occurs by specialized transport mechanisms. Active transport across gradients is an energy-requiring process that may involve macromolecules to complex with and foster excretion of “unwanted” chemicals. Such macromolecular shuttles have been identified in the central nervous system, the liver, and the kidney. Facilitated diffusion describes a process where no gradient is crossed and no energy is required; glucose transport is an example of facilitated diffusion. Another specialized transport mechanism is phagocytosis, a process by which a cell membrane, such as that of an alveolar phagocyte, engulfs a particle to isolate it. Absorption through human skin can occur at a limited number of places: at the openings in the skin surface for the sweat ducts, at the openings for the hair follicles and sebaceous glands, and through the stratum corneum, the outermost layer of the epidermis. Most skin absorption does, in fact, occur by passive diffusion through the epidermis. The permeability
366 Semiconductor Safety Handbook of the skin is a function of the thickness and diffusivity of the stratum corneum, factors which vary by body area. The integrity of the stratum corneum can be compromised by abrasion and physical removal, by cell injury such as that from contact with acids and bases, by increased hydration (e.g., following prolonged use of “rubber” gloves, and by changes to the lipids caused by such chemicals as dimethylsulfoxide (DMSO). This last example shows how a relatively nontoxic chemical may inadvertently facilitate the absorption of another, more toxic chemical. As discussed above, absorption to the systemic circulation from the lungs is also a route of exposure of occupational concern. Pulmonary absorption is influenced by the diffusion factors just described, by the degree of hydration at various levels of the respiratory tract and the water solubility and water reactivity of the chemical, and by the integrity of the respiratory system’s defense mechanisms. The mucociliary escalator, for example, provides an efficient capture and removal system for inhaled contaminants unless overwhelmed by the magnitude or frequency of exposure or otherwise compromised (e.g., by disease). Distribution. Once absorbed, a toxin will be rapidly distributed from the plasma through the body. Distribution to target organs is a function of organ blood flow, the relative ease of diffusion across the organ’s cell membranes, and the affinity of the chemical for the target tissue. A classic example of strong target site affinity is that of carbon monoxide for hemoglobin. Distribution to nontarget organs also occurs and may represent a protective mechanism when the toxin accumulates in a storage depot rather than in a target organ. Lead is preferentially stored in bones without apparent toxic effect, although it can represent a reservoir of toxin which can be mobilized to target organs under conditions which cause mobilization of calcium from bones (e.g., pregnancy). Plasma proteins, high molecular weight compounds such as albumin, transferrin, ceruloplasmin, and certain lipoproteins, may also serve as storage depots. Metabolism. Many of the principles that apply to absorption apply to metabolism and excretion. Given that lipid soluble chemicals easily cross membranes and are therefore easily reabsorbed, the goal of metabolism is to create water-soluble compounds in order to reduce reabsorption and to increase excretion. Metabolism can take a nontoxic chemical and “activate” it to a toxic form or take a toxic chemical and deactivate or detoxify it. For many chemicals, more than one metabolic pathway is encountered with more than one (toxic and/or nontoxic) product.
Plasma Processing Hazards 367 Enzymatic metabolism occurs in virtually every organ of the human body. It is typically divided into phase I and phase II biotransformation reactions. Phase I reactions expose or add functional groups to a chemical to increase its water solubility for ease of excretion. Phase I reactions are typically accomplished by mixed function oxidase enzymes, including the cytochromes P450 family of enzymes. If necessary, the phase I product may undergo phase II biosynthetic conjugation with compounds such as glucuronides, sulfates, amino acid, glutathione, and mercapturic acid prior to excretion. Metabolism is influenced by protein binding of chemicals, by induction or inhibition of enzymes by other chemicals, by sex, species, strain, and genetics, by age, and by disease and nutritional status. It is useful to repeat that metabolism may either activate a nontoxic form of a chemical or deactivate a toxic form. For large molecules with multiple functional groups, more than one pathway of metabolism may be important to occupational health and safety. Excretion. Excretion of chemicals from the body can occur at the kidneys, the liver and biliary system, the lungs, and in sweat, tears, and breast milk. A simple example of the interplay of ADME factors is provided by the excretion of ethanol: metabolism of ethanol is the rate-limiting step in its excretion. When addressing excretion from the kidneys’ glomeruli, the principles of passive membrane diffusion are again applicable. Chemicals which are ionized (water soluble) and those that are non-ionized (lipid soluble) are reabsorbed. There are also active renal transport mechanisms, such as those in the proximal tubules for organic acids and organic bases. Toxins bound to plasma proteins, too large for renal glomerular filtration, are often excreted in bile. Active mechanisms exist to transport chemicals from plasma to liver and from liver to bile for excretion. Passive diffusion also accounts for pulmonary excretion of substances in the gas phase at body temperature and for volatile liquids in equilibrium with their gas phase. In general, chemicals with low solubility in blood, such as ethylene, will be rapidly excreted from the lungs while chemicals with high solubility in blood, such as chloroform, will be slowly excreted.
368 Semiconductor Safety Handbook 6.4 Classification of Toxic Effects Toxic effects may be classified by the nature of the hazard expressed (e.g., irritation), the timing of the resultant effects (e.g., acute toxicity), the chemistry of the toxic agent (e.g., hydride gas), the intended use of the agent (e.g., acid etchants), or the mechanism of toxic action (e.g., red blood cell hemolysis). No classification system is any more correct than another, and the system utilized usually depends on the subjects and/or the agents under discussion. This discussion does not attempt to cover all known toxic effects, but rather generally addresses those toxic effects associated with chemicals found in plasma etching processes. Acute and Chronic Toxicity, Local and Systemic Toxicity. The terms acute and chronic are used to describe both the duration of exposure to a toxic agent and the duration of the resultant effect. In occupational settings, acute toxicity is generally used to refer to a single, short-term exposure that results in reversible adverse health effects. For example, acute exposure to plasma etching gases such as HBr or HCl can lead to irritation and inflammation of the eyes, nose, throat and skin; recovery is usually complete when the exposure is limited in duration and in magnitude. It is important to realize, however, that a single, short-term exposure to HBr or HCl can cause chronic toxicity if the concentration of the toxic agent is sufficiently high to cause permanent tissue damage (in this example, perhaps decreased respiratory capacity). Chronic toxicity more typically refers to adverse health effects which result from repeated and/or prolonged exposures. The specific toxicity expressed will be determined by the characteristics of exposure (route, duration, frequency, magnitude), the mechanism(s) of toxic action, and the shape of the dose-response curve. When the adverse health effect results from absorption of the hazardous agent and subsequent distribution to a target organ, the term systemic toxicity is often used. Metabolism may or may not be involved in systemic toxicity. Given the complexities of dose-response relationships, for any given agent there may be striking differences between acute toxicity and chronic toxicity, local toxicity and systemic toxicity. For example, a single, shortterm exposure to a chlorinated solvent such as chloroform or carbon tetrachloride may cause skin irritation at the local site of contact. Repeated or prolonged exposure may cause defatting of the skin, also a local response. Should absorption be associated with an acute exposure, the central nervous system is the target organ (dizziness, nausea, headache), while chronic, systemic toxicity has the liver as its target.
Plasma Processing Hazards 369 For many chronic effects, a threshold amount of toxin must be reached before toxicity is expressed. This threshold may represent the capacity of a natural defense mechanism such as the mucociliary elevator for inhaled particles, the plasma proteins for absorbed metals, or the metabolic and/or excretory pathways for organic chemicals. Toxins for which a threshold must be reached may also be referred to as cumulative toxins because the agent must accumulate to the threshold amount before toxicity is manifest. Irritation and Corrosivity. Irritation and corrosivity are terms used to describe the nonspecific actions of certain chemicals on biologic tissues; irritation and corrosivity are typical actions of acids and bases. Toxicology definitions generally describe an irritant as an agent which causes inflammation at the point(s) of contact by mechanisms of action independent of the immune system; irritant responses may result from either a single exposure (acute irritation) or from repeated or prolonged exposure to an agent which may be nonirritating upon a single, limited exposure (cumulative irritation). In either case, the inflammatory response is usually reversible upon removal of the offending agent. A corrosive is described toxicologically as an agent which causes irreversible tissue damage on contact; ulceration, tissue death, and scar formation result. It is important to note that regulatory definitions may vary from these toxicology definitions as a function of federal, state, and/or local regulations. Irritation which is mediated by the immune system is toxicologically known as sensitization; when the skin is the target organ, the term used is allergic contact dermatitis. Sensitization due to inhalation exposure is less common. Allergic contact dermatitis is also called cell-mediated immunity because the responsible structures are cells (rather than antibodies produced by cells) and/or delayed hypersensitivity because a period of induction (of the necessary cells) is required prior to expression of the irritant response. Systemic Toxicity. Systemic toxicity refers to toxicity manifest in biologic tissues other than the tissues of contact with the offending agent. Absorption, at a minimum, must occur for systemic toxicity to exist; distribution, metabolism, and/or excretion may also be involved. An example of systemic toxicity in which only absorption is involved is the red blood cell breakage (hemolysis) caused by the hydride gas arsine; this hemolysis and subsequent anemia affect the central nervous system, the liver, and the kidneys. For most systemic toxins, a threshold level of agent must be reached before toxicity is manifest; this threshold often represents the capacity of the body’s defense mechanisms. With two general exceptions,
370 Semiconductor Safety Handbook i.e., mutagens and carcinogens which interact with genetic material, this concept of threshold toxicity is often the basis of setting and referencing occupational exposure limits. Occupational Exposure Limits. Occupational exposure limits are regulated by the federal Occupational Safety and Health Administration (OSHA), recommended by the American Conference of Governmental Industrial Hygienists (ACGIH) and by the National Institutes of Occupational Safety and Health (NIOSH), and suggested by chemical manufacturers and by employers for inter- and intracompany use. Occupational exposure limits would ideally be based on complete understanding of the toxicology of chemical substances, but are in reality based on experience and judgment. Occupational exposure limits usually refer to the maximum airborne concentration of a contaminant to which most workers could be exposed, eight hours per day, five days per week, without consequent adverse health effect or impact. Exposure limits are typically expressed as milligrams contaminant per cubic meter of air (mg/m3); an accompanying skin notation is used to indicate the possibility of skin absorption and the contribution of skin absorption to overall exposure.[19]–[23] When referencing and/or applying an occupational exposure limit, it is critical to review the source documents and understand the parameters of and the toxicologic basis for the cited limit. If, for instance, an exposure limit is based on subjective complaints of odor, it may be important to understand the possibility of chronic toxicity at exposure levels below the odor threshold. Similarly, an exposure limit based on a chronic toxicologic effect may not be directly applicable to precautions for acute, unexpected exposures. OSHA-Regulated Exposure Limits. The occupational exposure limits regulated by OSHA are known as permissible exposure limits (PELs) and reflect eight-hour time-weighted average (TWA) exposures. OSHA may also regulate ceiling limits, short-term exposure limits (STELs), and action levels. Action levels are typically one-half of the PEL value and are that exposure level at which additional provisions of worker health and safety must be implemented; refer to Ref. 19 for additional information. OSHA-regulated exposure limits are often adopted from ACGIH recommendations. ACGIH-Recommended Exposure Limits. Occupational exposure limits recommended by ACGIH are known as threshold limit values (TLVs) and, like PELs, are eight-hour time-weighted average (TWA) exposures. For some chemicals, the eight-hour TLV-TWA is supplemented by a short-
Plasma Processing Hazards 371 term TLV-STEL, i.e., a fifteen-minute exposure limit TWA concentration that would not result in “(i) irritation, (ii) chronic or irreversible tissue damage, or (iii) narcosis of sufficient degree to increase the likelihood of accidental injury, impair self-rescue or materially reduce work efficiency.”[5] ACGIH may also recommend a ceiling concentration, TLV-C, a concentration which should not be exceeded at any time.
6.5
Toxicology of Halogen-Containing Plasma Etching Gases
Fluorine-, chlorine-, and bromine-containing gases used in plasma etch processes are listed in Table 2. In general, the toxicology of these halogen-containing chemical substances reflects acute and chronic toxicity of the skin, eyes, respiratory tract, and, more rarely, selected systemic organs. The following sections summarize the hazards of the plasma etching gases, and is it crucial to remember that risk will not be present, i.e., toxicity will not be expressed, unless sufficient exposure occurs. As discussed earlier, in occupational settings, exposure, and therefore risk, is controlled with prudent work practices, engineering controls (e.g., exhaust ventilation), and personal protective equipment. Both the general toxicology of halogen-containing substances and these principles of industrial hygiene find application in protecting workers from chemical by-products and contaminants potentially found, for example, during process chamber cleaning/maintenance and in pump oils.
6.6
Fluorine and Fluorine-Containing Gases
Fluorine is a pale yellow, highly reactive gas. As a function of duration and magnitude, it can cause adverse effects ranging from irritation to burns and extensive tissue damage. Hydrogen fluoride (HF as a clear, colorless gas) and hydrofluoric acid (HF as a clear, colorless liquid) form corrosive fumes in ambient atmospheres. Given that hydrofluoric acid enthusiastically attacks many materials, including glass (to produce silicon tetrafluoride, SiF4), it is not surprising that exposure of human tissues to any form of HF leads to extreme irritation and corrosion; there is subsequent poor healing, tissue ulceration, and possibly gangrene. The toxicity of silicon tetrafluoride reflects HF formation. Absorbed fluoride ions are capable of tissue damage that continues after elimination of the hazard; therapeutic interventions are designed to
372 Semiconductor Safety Handbook capture the fluoride ions and eliminate the continuing tissue damage. The nature of HF toxicity in occupational settings makes it unlikely that fluorosis, chronic fluoride ion toxicity characterized by bone changes and softening and discoloration of teeth, will occur. Fluorine is also capable of oxidizing chlorine and bromine to form extremely reactive interhalogen compounds. The reactivity of these chemicals reflects interaction with water to form hydrohalic acids (HF, HCl) and nascent oxygen (O). The toxicity of interhalogen compounds reflects, in turn, this extreme reactivity; toxicity is characterized by corrosive and dehydrating action at all tissues of contact. Further information on the chemistry of halogen compounds can be found, for example, in Ref. 24. The toxicity of fluorocarbons such as trifluoromethane (CHF3), tetrafluoromethane (CF4), and hexafluoroethane (C2F6), and chlorofluorocarbons such as chlorotrifluoromethane (CF3Cl) and chloropentafluoroethane (C2F5Cl), primarily reflects simple asphyxiation by oxygen displacement and defatting of skin from excessive contact. High vapor concentrations have reportedly caused transient confusion, respiratory irritation, and tremors. Fatalities associated with recreational abuse of fluorocarbons have suggested that laryngeal spasm or edema, or sensitization of myocardium, may occur. Sulfur hexafluoride (SF6) is also capable of simple asphyxiation from oxygen displacement.
6.7
Chlorine and Chlorine-Containing Gases
Chlorine is a visible, greenish-yellow gas with a characteristic odor; its mechanism of toxicity is reaction with water and consequent release of hydrogen chloride and oxygen radicals. Hydrogen chloride causes irritation and inflammation of the tissues with which it comes in contact, including the skin, eyes, and respiratory tract. Reaction of chlorine may, in fact, be with the water of the affected tissue(s). Irritation of the lower respiratory tract following chlorine inhalation is believed to be caused by the liberated oxygen radicals. The irritation caused by exposure to chlorine is rapid in onset, and prolonged exposure is usually precluded by the natural response to leave the contaminated area. If, however, inhalation exposure is sufficient, fatal pulmonary edema may result. HCl (hydrogen chloride) refers to the gaseous and a liquid forms of this hydrogen halide; it is known as hydrochloric acid when the liquid is an aqueous solution. Although HCl is generally described to be of lesser
Plasma Processing Hazards 373 toxicity than HF, prolonged or repeated exposures and/or exposures to high gas vapor concentrations can cause substantial tissue damage. Prolonged or repeated skin contact with low concentrations of HCl may cause dermatitis. Dehydration of eyes and respiratory tract due to HCl vapor is associated with affinity of the halide for water. The acute toxicity of boron trichloride (BCl3), especially pulmonary irritation and edema, reflects hydrolysis to hydrochloric acid; at sufficient doses, toxicity may also reflect the effects of boron on the central nervous system. Chlorine-containing gases such as silicon tetrachloride (SiCl4), dichlorodifluoromethane (CF2Cl2) and trichlorofluoromethane (CFCl3) are also respiratory irritants and potential skin-defatting agents. Cardiac and respiratory disorders have been reported in sensitive individuals and after acute exposures to high concentrations. Chloroform (CHCl3) and carbon tetrachloride (CCl 4) are the chlorine-containing plasma etching gases of greatest potential for systemic toxicity. Chloroform affects the central nervous system, the cardiovascular system, the liver, and the kidneys; if the exposure is sufficient, death may result from toxicity to any of these systems. Occupational exposure limits for chloroform reflect protection against these organ toxicities (ACGIH) and protection against occupational carcinogenicity (OSHA and NIOSH). Chloroform is considered by many scientific and regulatory agencies to be a possible or suspected human carcinogen because of kidney and liver toxicity in humans and kidney and liver tumor formation in experimental animals. Chloroform also causes local irritation on contact and may cause reversible corneal damage if splashed in the eye. Carbon tetrachloride has toxicity and occupational exposure limit profiles similar to that of chloroform. Carbon tetrachloride is well absorbed through human skin and its toxic effects appear to be potentiated by alcohol ingestion. Skin contact can be painful and cause redness, swelling, weal formation, and vesication.
6.8
Bromine and Bromine-Containing Gases
Bromine is a dark red liquid that is irritating upon contact with the skin, eyes, and respiratory tract. Inhalation exposure can cause coughing, headache, and nosebleed; inhalation of sufficient vapor can cause chemical pneumonitis and fatal chemical burns of the lungs. As a function of duration and magnitude, skin contact can cause dermatitis, blistering, and deep
374 Semiconductor Safety Handbook ulceration. Bromine interaction with mucous membranes is rapid, and exposure is limited by reluctance to remain at or near the source. Hydrogen bromide (HBr), a pale yellow to colorless gas, causes irritant and corrosive reactions in the tissues with which it comes in contact. Pulmonary edema laryngeal spasm have been reported and may be fatal. The toxicity profile of the bromine-containing trifluorobromomethane (CF3Br) reflects the general toxicity of fluorocarbons.
7.0
SOURCES OF INFORMATION
There are many sources of toxicology data relevant to plasma etching processes. Hopefully, the occupational health and safety program of the employer or educational institution will have adequately prepared each worker to read, understand, and follow the information on material safety data sheets (MSDSs) and labels. The authors are equally hopeful that each manufacturer/supplier of hazardous chemicals has exercised due diligence in preparing the MSDSs, labels, and other product information. It is recognized, however, that the OSHA Hazard Communication Standard (29 CFR 1910.1200) mandates collection and presentation of data on hazardous substances and does not require that information be generated. This distinction means that there is not necessarily any incentive to conduct, for example, toxicology studies to identify potential health hazards, industrial hygiene tests to refine monitoring methods and to define appropriate materials for personal protective equipment, or biomedical research to identify useful biomedical tests and treatment therapies. The toxicology, industrial hygiene, occupational medicine, and safety professionals responsible for a workplace will be invaluable resources for health and safety information. These professionals often draw on a wealth of experience relevant to the plasma etching processes and are in the best possible position to apply “academic” information to actual workplace situations. They have often partnered with commercial vendors and suppliers to improve recognition and control of hazards and to make such findings available to the research community through journal articles, training materials, personal interactions, and textbooks such as this one. Recent responsible care and regulatory incentives have led several chemical manufacturers to develop and institute product stewardship programs which
Plasma Processing Hazards 375 further facilitate information sharing as well as health, safety, and environmental protection. The secondary reference materials on which the authors have relied in the compilation of this toxicology information are listed below; primary references can be found in and identified from those secondary references. In addition, the reader is referred to the on-line databases available through the National Library of Medicine (NLM) of the U.S. Department of Health and Human Services, Public Health Service, National Institutes of Health.[25] The dozens of biomedical and toxicology databases available through NLM contain information from thousands of major publications, including peerreviewed technical journals and regulatory agency documents. The NLM databases usually reflect the most recent available information and they often serve as the only convenient source for information submitted for regulatory compliance. The databases are fully searchable and can be accessed with user-friendly software.
REFERENCES 1. Managing Chemicals Safely, Putting It All Together, US Environmental Protection Agency, Occupational Safety and Health Administration, US Government Printing Office Superintendent of Documents, Publication Number S/N 055-000-003980-0, Mar. 1992. 2. Plasma Etching: An Introduction, (D. Manos, ed.), Academic Press, Inc. (1989) 3. Process Safety Management of Highly Hazardous Chemicals: Chemicals, Explosives and Blasting Agents, Final Rule, Federal Register, 57(36):6403– 6417 (Feb. 24, 1992) 4. Guidelines for Hazard Evaluation Procedures, 2nd edition, Center for Chemical Process Safety, American Institute of Chemical Engineers, New York (1992) 5. Plant Guidelines for Technical Management of Chemical Process Safety, Center for Chemical Process Safety, American Institute of Chemical Engineers, New York, (1992) 6. Bretherick, L., Handbook of Reactive Chemicals, Butterworth, Woburn, Mass (1979) 7. Code of Federal Regulations (CFR), 29 CFR 1910.147 The Control of Hazardous Energy (Lockout/Tagout), U.S. Govt., Printing Office, Washington, D.C.
376 Semiconductor Safety Handbook 8. SEMI S2-93, Semiconductor Equipment and Materials International, 805 East Middlefield Road, Mountain View, CA 94043–4080 9. Singer, P., Today’s Plasma Etch Chemistries, Semiconductor International (March 1988) 10. Mueller, M. R., and Kuseh, R. F., Safety and Health Implications of Dry Chemical Etching, IBM General Technology Division Technical Bulletin TR19.90323, Burlington, VT (Nov. 2, 1987) 11. Flaschner, D., Identification and Exposure Assessment of Toxic Products in Plasma Etch Processes in the VLSI Fabrication,M.Sc Thesis, Dept. of Human Environmental Sciences School of Applied Sciences, the Hebrew University, Jeruselem (1987) 12. Chris Strang, personal communication, Monsanto, Ft. Madison, IA 13. Ricks, G. M., Johnson, D. E., Schaeffer, E. M., Am. Ind. Hyg. Assoc. Jrnl. (54) (May, 1993) 14. Uniform Fire Code. 15. Quinn, W. E., and Rainer, D., Solid State Technology 29(7) (1986) 16. Hardly, T. K., and Shay, R. H., Solid State Technology 30(10) (1987) 17. Casarett and Doull’s Toxicology, The Basic Science of Poisons, Fourth Edition, (M. O. Amdur, J. Doull, and C. D. Klaassen. eds.), Pergamon Press, New York (1991) 18. Hazardous Materials Toxicology, Clinical Principles of Environmental Health, (J. B. Sullivan, Jr., and G. R. Krieger, eds), Williams & Wilkins, Baltimore (1992) 19. Code of Federal Regulations (CFR), 29 CFR 1910.1000-1910.1200, U.S. Government Printing Office, Washington D.C 20. Recommendations for Occupational Safety and Health—Compendium of Policy Documents and Statements, National Institute for Occupational Safety and Health (NIOSH), Cincinnati, OH (1992) 21. Documentation of Threshold Limit Values and Biological Exposure Indices, ACGIH, American Conference of Governmental Industrial Hygienists (ACGIH), Cincinnati, OH (1996) 22. Guide to Occupational Exposure Values—1992, American Conference of Governmental Industrial Hygienists (ACGIH), Cincinnati, OH (1992) 23. Occupational Exposure Limits for Airborne Toxic Substances, Occupational Safety and Health Series No. 37, (Third Edition), International Labour Office (ILO), Geneva, Switzerland (1991)
Plasma Processing Hazards 377 24. Manahan, S. E., Toxicological Chemistry, (Second Edition), Lewis Publishers, Chelsea, MI 1992 25. The National Library of Medicine (NLM) can be reached at (800) 638– 8480
ADDITIONAL REFERENCES Principles and Methods of Toxicology, (A. W. Hayes, ed.), (Second Edition), Raven Press, New York (1989) Environmental and Occupational Medicine, (Second Edition), (W. N. Rom, ed.), Little, Brown and Company, Boston, MA (1992) SSA Semiconductor Safety Association, 8000 Westpark Drive, Suite 130, McLean, VA 22102, (703) 790-1745 SIA—Semiconductor Industry Association, 4300 Stevens Creek Blvd., Suite 271, San Jose, CA 95129, (408) 246-2711
378 Semiconductor Safety Handbook
8 Fire Protection Technology for Semiconductor Operations Robert J. Pearce
1.0
INTRODUCTION
1.1
History
The idea of protecting a manufacturing facility is relatively new. In the early 1800’s, industry moved from the home to larger facilities. These large facilities were of similar construction to smaller buildings but their size made them vulnerable to large losses. This is where insurance companies first saw increasing values as a hinderance to their doing business. They needed to pool their resources with competitors to limit their exposure. As larger facilities lead to larger losses, these companies encouraged built-in fire protection and surveillance to limit the size of a loss. While perforated pipe and pumps powered by the shafts common to mill production equipment were the first attempt, they had a serious flaw. These systems were manual and required human intervention. The perforated pipe was connected to the water supply and flow-controlled by a manual valve. When a fire occurred, a belt was engaged starting the pump and the valve was opened. Little thought was given to hydraulics so the pipe nearest the supply 378
Fire Protection Technology 379 had a great deal of water and very little came out at the end of the lines. This deluged the area pre-wetting combustibles and slowed the spread of the fire. Hence, the worst fear of the automatic sprinkler system was created. The first sign of automatic fixed fire protection systems came in the 1850’s with the invention of the Parmelee head. This used a fusible element to open only the heads exposed to the heat from the fire. This improved the hydraulics putting high pressure water at the seat of the fire. It also limited water damage to the peripheral area. This head also incorporated a deflector that sprayed the water in a fixed pattern around the head. This pattern was more effective than the spray from the perforated pipe at reaching the fire and absorbing heat. With this invention, the philosophy of the highly protected risk was established.
1.2 Highly Protected Risk The birth of the Highly Protected Risk (HPR) may be a result of the Parmelee head but its foundation is concerned management. Management programs are necessary to support loss prevention technologies and reduce the exposure to loss. The key is the support of these programs do not come without a cost. When money is spent on fire protection equipment, it will not help production to be performed better or faster. It could very well allow them to continue longer. Even the best insurance leaves a company vulnerable to failure after a loss. Companies have failed because of loss of face in the community or loss of customers. Neither is insurable. • Automatic Sprinklers. The automatic sprinkler continues to be the single physical element that effects an HPR risk. It continues to have an enviable record when properly designed and is in service at the time of the incident. • Water Supplies. A sprinkler system must have an adequate water supply to be effective. Therefore, it is a key element of the HPR property. To be adequate, the water supply must have sufficient volume, pressure and duration. • Construction. Buildings in an HPR facility need to be of superior construction. Today, fire resistive, heavy noncombustible, or light noncombustible construction is preferred. Masonry plank on timber or steel is an early example of superior construction. It would allow substantial time before collapse to accommodate manual fire fighting.
380 Semiconductor Safety Handbook Their drawback was that it contributed fuel to a fire. This type building is no longer being built, but its substantial construction has allowed many to remain usable. • Special Hazards. There are many tools and materials used in a facility. Where they can increase the frequency or severity of a loss, they are known as special hazards. In an HPR facility, these hazards require fixed local protection. This can be in the form of additional sprinklers, segregation, or a fixed automatic extinguishing system. These devices can catch a fire in its incipient stage and prevent the involvement of the building protection. • Surveillance. Lastly, an HPR facility requires surveillance. It may consist of a continuous occupancy, watchman service and/or electronic surveillance.
1.3
Codes
The theory of a highly protected risk led to the idea of codes to regulate protection. These set minimum criteria of protection for the good of mankind. The two most prevalent codes are concensus codes and model building codes. • National Fire Protection Association. The National Fire Protection Association is the best known and most followed of the concensus codes. The NFPA was formed in 1895 to set minimum performance criteria for the installation of automatic sprinklers. The NFPA now has over 275 Standards and Recommended Good Practices setting minimum standards for the prevention of loss of life and property. Many of these standards apply to the semiconductor industry. It needs to be emphasized that these are minimum standards, items that everyone must comply with. Concerned management is expected to go well beyond these criteria to become a true HPR property. Many times these codes will become adopted in their entirety or part and at that point they become law. • Model Building Codes. Municipal authorities developed their own codes and these are known as the model building codes. The three most popular are the International Conference
Fire Protection Technology 381 of Building Officials (ICBO), Building Officials and Code Administrator International (BOCA) and Southern Building Code Congress International (SBCCI). For the most part these codes dictate minimum standards. They write the Uniform Building Code, National Building Code and Standard Building code respectively. While modern semiconductor facilities are clean and innocent to the eye, they use hazardous chemicals in substantial quantities. These facilities found themselves being regulated as hazardous or “H” occupancies. This led to some very difficult interpretations and enforcement. The very special needs of the semiconductor industry and its desire to police itself resulted in modifications to the code. This modification was the H-6 Occupancy developed for the Uniform Building Code. In 1990, the National Building Code and the Standard Building Code were amended to include this specific occupancy. Conclusion. The concensus code and the model building code are minimum standards. They are written so they can be readily enforced for the smallest of installations. As the NFPA made the local sprinkler codes of 1896 uniform, it is hoped the creation of NFPA 318 “Standard for the Protection of Clean Rooms” will make the model building codes more uniform. Since the model building codes already reference many of the NFPA Codes and the NFPA Code is the most widely known and accepted throughout the United States, progress is imminent.
2.0
SITE SELECTION
2.1
Introduction
Site selection is extremely important when it comes to establishing a new facility. While no one would build a facility without knowing the labor pool or tax base at a site, the impact on fire protection at that site is often overlooked. Granted, any of the key elements of the fire protection can be corrected but not without cost. Climate, topography, exposure, utilities and municipal services have a big effect on the composure of fire protection at a risk.
382 Semiconductor Safety Handbook 2.2
Climate
With the amount of air a semiconductor facility has to treat, it will always be considered when developing a semiconductor fabrication facility. In order to reduce the cost of treating air and maximize efficiencies, less desirable materials might be evaluated for use. The more air treatment necessary, the more justifiable it will appear to use materials that are substandard from a fire protection standpoint. Insulation. The most effective way of preventing extremes of hot or cold from affecting the temperature of the operating areas of a facility is insulation. The most effective insulations are foamed plastics. They have considerably more insulating qualities per unit thickness than inert insulation such as foamed glass and fiberglass. Unfortunately, plastic is derived from petroleum. Like other petroleum products, plastics have a very high heat of combustion. A better explanation on the effect of plastics in building materials is offered in the section on building materials. Simply, in more moderate climates, inert insulating material will be very effective and not make foam plastics appear so desirable.
2.3
Topography
No industry is more concerned about the esthetics of their facilities. As high tech electronics is designed to improve the quality of life, the high tech firms are determined to provide a quality work place for its employees. Topography can be an important part in making a spectacular site but it can also create very real barriers to loss prevention. These can include exposure and firefighting access. • Exposure. Exposure can be both natural and man made. A semiconductor company would avoid an exposure such as a refinery or coal fired utility regardless of the effect on energy. Would the architect and engineers have the same awareness when building a facility on a steep slope or in a box canyon? The terrain could allow for a unique structure where high tech industry shares with nature. What effect would steep grassy slopes that go dry in the summer or woodlands have on a site? Even with the best of construction and fire breaks, this would add risk of damage to the facility. If nothing else, the incoming air can be carrying products of combustion far greater than those which can be filtered out.
Fire Protection Technology 383 • Fire Fighting Access. The same hills, valleys and woodlands that beautify a site can make it inaccessible for manual fire fighting. No matter how good we are getting at fixed fire protection equipment, there will always be a need for human intervention. If a building is located adjacent to a downslope, fire apparatus will not be able to approach the facility from that direction. This could require firefighters to pass through an entire facility before reaching the area of incident. This would add to the amount of area effected by contamination and possibly downtime of the facility. Building a facility near an upslope could also create hinderance to fire fighting. You could experience reradiation off the slope. If a fire were to occur, you could create unusual drafts that increase the influx of oxygen and rate of burning. You could also create problems with dissipation of hazardous gases. Inability to dissipate silane in a trapped area could change a fire hazard into an explosion hazard. There are other esthetic features such as streams and ponds that can create hindrances to manual fire fighting. When designing a facility, it is important not to create a maze for firefighters. When they enter these areas, conditions could be less than favorable and you are depending on their ability to prevent an incident from going beyond its incipient stages.
2.4
Exposure
There are other exposures besides the natural exposures mentioned under topography. Exposures from adjacent building can be evaluated in accordance with NFPA 80A “Protection from Exposure Fires.” Even this standard does not address the true vulnerability of semiconductor manufacturing facilities. Even without risk of fire, smoke from an exposure fire can shut down a semiconductor facility. Semiconductor fabrication facilities have been built exposed to oil tanks and high pressure gas mains. When evaluating the exposure, a decision must be made on what a credible loss at that facility would be; and what damage an exposure fire would have upon your facility What can’t be forgotten is what effect the products of combustion would have on the semiconductor fabrication facility.
384 Semiconductor Safety Handbook It is easy to evaluate areas that are already developed. You can see how your facility will fit into and be affected by the exposures. What is hard to determine is how an area will grow up around your facility. We see most semiconductor manufacturing facilities being built in remote areas. The site must be carefully planned to prevent an influx of exposures in the future.
2.5
Utilities
A utility can be needed for protection and under other circumstances it will need to be protected. A public water supply and electricity are the two predominant utilities. Others requiring review would include natural gas, waste water removal, and nitrogen . Water. A good city water supply is needed for the operation of the facility and it is needed for the protection of the facility. Information on the quantity of water needed for fire protection is given in the specific section on water supplies. When researching sites, it is important to select one that can supply water of adequate volume, pressure and duration during peak service use. The supply needs to be reliable. The reliability of city water can include a great number of items. The first item would be multiple sources of water. This could be multiple pumps, tanks or reservoirs. With the largest source out of service, 100% of municipalities demands must be met. What is more important is that the water is available at the facility with adequate pressure. What has become important in the last few years is the ability of the municipality to treat its water. Regardless of the number of water sources, if the water needs to go through a single treatment station, its reliability needs to be questioned. City distribution becomes the next criteria in the evaluation. A grid of valved mains of adequate size are necessary to distribute water at minimum reduction in pressure due to friction loss. Eight inch looped mains are normally considered minimal for distribution. Even eight inch mains are questionable where the facility has a high water demand or is exposed by a facility with a process that has one. These mains must be valved for reliability. A crossed connection would have valves on all four legs. With any break, the leg could be isolated allowing all other areas to be back fed. It is important to have the multiple water supplies fed into this grid from multiple directions. The pumping systems usually have an advantage because of multiple supplies but they also have a disadvantage: most
Fire Protection Technology 385 municipalities will rely exclusively on electric pumps. A storm that can impair the electricity will also impair 100% of the water supplies. Generators can be used to keep the pumps running during an emergency when properly sized. They must be sized for the increased locked rotor current necessary to start the pumps. Diesel domestic water pumps are available in some communities but they are the exception rather than the rule. The better and more reliable a public water supply, the easier it will be to design a fire protection water supply. Electricity. Electricity is both a utility and a special hazard. The loss of electricity not only shuts down the operations in the plant but it can also shut down its fire protection. Many fire protection installations depend on reliable electricity. Only if reliable electricity is available can electrical fire pumps be used. I know of no semiconductor fabrication facility where 100% of the electricity is supplied by the plant. There is normally a requirement for a power source capable of providing emergency power for critical operations which is code-driven. A site should have two power sources that would not be affected simultaneously. They should be used for redundancy with multiple transformers and switchgear. With the loss of the largest transformer, the remaining transformer should be capable of supplying 100% of the plant power. The utilities should have standardized transformers. Some of the larger or custom transformers will require very long replacement times. Designing the electrical distribution system using multiple small transformer in common sizes would help prevent a loss from the power failure. Many transformers are oil filled and this is a special hazard. It is preferred that utility transformers utilize less hazardous fluids for cooling. Semiconductor facilities should avoid building near or utilizing a substation with transformers using PCB’s. While you can no longer buy this type of transformer, there are still some in operation. There have been many cases where breakdown of the ordinary oil in the transformer has been ignited by arcing. This causes an explosion and spraying burning oil to adjacent units. Under these conditions, the redundancy would be there but not under fire conditions. Here it would compromise the redundancy of the supply. A good example of this type of oversight was at a nuclear power facility. Although they realized they required redundant signal capabilities for the controls of the unit, the redundant cables were run in the same cable tray. Unfortunately, when a fire occurred in that cable tray, the safety of redundant cables was nullified.
386 Semiconductor Safety Handbook When selecting a site, the municipality should be evaluated on the type of redundant electrical supplies that can be provided. Preferably, it should offer two or more supplies of adequate capacity from two or more electrical grids. Each should be sized to maintain 100% of the facility in operation. Services. A municipal fire department can be thought of as a utility. It is an important consideration in site selection that manual fire fighting capabilities are evaluated. A fire station needs to be situated in the area of the site. The first three minutes of a fire are the most critical. Even with all the advances in fixed fire protection capabilities, there are times it comes down to trained people performing critical functions to put a fire out. Fire departments can be fully paid, part paid or volunteer. While you can’t judge a department on this criteria alone, a fully paid fire department will generally be better suited for firefighting activities at semiconductor facilities. A paid department needs to be proactive with preplanning of fire situations. This involves a knowledge of the building, equipment and chemicals being used. They must know how and where to disconnect the utilities and chemicals. Some areas of the modern fab such as under the waffle slab are difficult to reach under the best of conditions. When filled with black acrid smoke, it may be impossible to even locate the fire much less extinguish it. The knowledge of the fixed protection is necessary since the proper means of fire fighting might be to support and supplement this equipment. The fire department also needs to understand what support they can expect from the plant’s emergency response team. For large semiconductor fabrication facilities located in remote areas covered by volunteer fire departments trained for responding to dwelling fires, a larger structural fire brigade might be necessary. The best source of evaluating the need of a fire brigade is in the Industrial Risk Insurers (IRI) publication Overview. It presents the selection of the type of fire brigades in a decision tree format. While volunteer and part-paid fire departments provide adequate protection for homes, dwellings and even smoke stack industries, the preplanning requirement of the semiconductor fab goes way beyond their normal capabilities. The IRI preplanning section in Overview came from the 1978 occupational health and safety regulation. In the Bill, requirements for training were outlined. When read, many people felt it was a means of eliminating hoses and first-aid fire protection equipment such as extinguishers. This was not the intent. The intent was to adequately train the people in using the equipment. Industrial Risk Insurers produced a brochure called PEPlan.
Fire Protection Technology 387 This gave the ability to select a fire brigade based on the plant needs. This publication has since been included in the publication Overview. The availability of a fire station to a facility is more than a physical distance. There are many barriers that can prevent the fire department from responding. Narrow roads, railroad tracks, traffic patterns, bridges and weather patterns have all prevented fire departments from being able to catch a fire in its incipient stage. A response time is a better criteria for evaluating the accessibility of a fire department than a physical distance.
3.0
CONSTRUCTION
3.1
Exterior Envelope
The best start a semiconductor fabrication facility can have is a noncombustible building shell. Most are metal panel walls or masonry walls with a noncombustible deck. These structures have been tested in the manner in which they are used and have been determined to be noncombustible. Metal decks are very common in the modern structures. When completed, these buildings would not require sprinklers in themselves as long as their occupancy was noncombustible. Some of the early wafer fabrications were of masonry Berkeley construction. These were older, smaller, fabs on the West Coast. Modern sprinklers are designed to control floor fires. Once a fire gets above the sprinklers and involves the roof, their effectiveness is questionable. The semiconductor facility has many high energy ignition sources. These include equipment, hazardous production materials and chemicals. With this type of ignition source, the roof can be involved in the very early stages. The same fire in a noncombustible building could easily be contained long before any building materials were involved.
3.2 Cleanroom The heart of the semiconductor facility is the cleanroom. This structure should also be constructed of all noncombustible materials. Noncombustible materials can be defined as those in the form in which they are used and other conditions anticipated will not ignite, burn, support
388 Semiconductor Safety Handbook combustion or release flammable vapors when subjected to fire or heat. Materials reported as passing ASTME136 “Standard Test Method for Behavior of Materials in a Vertical Tube Furnace at 750oC” shall be considered as noncombustible. It is important that noncombustible components be used for walls, ceilings and partitions. Early cleanrooms used plastic construction to aid in cleanliness. Today, noncombustible components are available. They will not contribute to the contamination from a fire incident. It is a contamination from the products of combustion from a fire that would do the most damage in a semiconductor fabrication cleanroom. By keeping combustibles out, you reduce the risk of fire and resulting contamination. These newer metal components are also conductive and don’t leach contaminants like earlier plastic wall units. Walls. There are many look-a-like wall panels. They need to be evaluated since their contribution to the fire and subsequent contamination could vary greatly. The cores can be plastics or a metal honeycomb. The plastics could be anything from polyethylene to polyvinylchloride (PVC). Involvement of PVC can be one of the worst contamination problems in a cleanroom. When the black acrid products of combustion mix with the humidity in the room and deposit on equipment, you have a coating of hydrochloric acid (HCL). This is very damaging to the sensitive optical equipment found in semiconductor fabrication cleanrooms. The polyethylene panels have a different problem. The core in these panels can melt, flow and become a flammable-liquids fire. This could allow the flowing and burning of polyethylene below the raised floor or waffle slab. While the fire may be contained by the sprinklers, the products of combustion are more damaging and could be spread through the air handling system. Exposure. Another construction concern of the cleanroom is its exposures. The ideal situation is to have the cleanroom separate from all other occupancies by being in a building of its own. Many times cleanrooms will be located within the same building as other occupancies. As a minimum, the cleanroom should be separated by a noncombustible fire partition of not less than one hour fire resistive rating. This fire barrier should be tightly sealed to the roof and floor slabs. This will be adequate where the exposure is an occupancy of light combustible loading. This would be typical of offices and light engineering support areas. When the areas consist of light assembly or more than moderate exposure, a two hour fire resistant rating should be used. When cleanrooms expose hazards such as gas and chemical storage areas, the barriers should be adequate to prevent an incident in the storage from fire walls for breaching the wall.
Fire Protection Technology 389 Values. In some cases, the values in a cleanroom can become so great or the importance of a cleanroom can become so great that they should be divided by a fire wall. This is not necessarily the four hour blank parapeted fire wall used in early mill buildings. The fire wall should be designed so that a fire on one side could not compromise the wall and cause collapse. A freestanding fire wall could be used. The steel on both sides would terminate at columns and not penetrate or be tied into the wall. Where the columns and bays are similar on both sides of the wall, a tied fire wall can be used. This will tie the steel of both buildings into the wall. A fire on one side would soften the steel and the cool rigid steel on the other side of the wall would prevent collapse of the wall. Other walls such as back-to-back fire walls can be used with these. Each building has its own steel and a wall is tied into each building’s steel. Depending on the height of the wall, a separation between the walls will need to be maintained. This will allow for deflection of the building steel under fire conditions. Ceiling. In most modern cleanrooms, the ceiling is made up of a grid and HEPA filters. It is extremely important to keep these components of noncombustible construction. The main component is the HEPA filter and these are covered under the air handling systems.
4.0 AIR HANDLING SYSTEMS 4.1
Introduction
There are two major air handling systems needed for a cleanroom. The first system is the HVAC system. This system is for the room supply. The most abundant raw material used in the semiconductor manufacturing is clean air. The cleanroom environment requires a great deal of air treatment. Beyond the normal filtering for heating, cooling and humidifying through the HVAC system, the cleanroom requires the use of high efficiency particulate air (HEPA) filters. These filters reduce the number of particles of a given size per cu.ft. The definition for the cleanliness of the roof is found in Federal Standard 209D “Clean Room & Work Station Requirements, Controlled Environment.” The cleanroom air handling consists of two separate systems. One is the heating, ventilation, and air conditioning system for normal room intake and recirculation. The other is a fume exhaust system which prevents production materials from contaminating the air of the cleanroom.
390 Semiconductor Safety Handbook 4.2
Construction Materials
The primary criteria for HVAC duct work is that it should be of noncombustible construction. The duct work should be metal and, if at all possible, not insulated. It is important that foam plastics are not used to insulate the interior of the air handling unit. The duct work should be separate from other building components. When composites are used, they should not have plastic cores. The PVC plastic cores could greatly contribute to a contamination loss, or the panels with the polypropylene core will contribute to a fire.
4.3
High Efficiency Particulate Air Filters
The main component of the heating, ventilating and air conditioning (HVAC) system is the HEPA filter. The HEPA filter was designed as a filter for gas masks by the United States Chemical Warfare Service. With the development of atomic energy, they were seen to be of value. In 1955, fire retardant HEPA filters were developed for the Atomic Energy Commission. They became available commercially 2 years later. For use with the AEC, it became necessary to have a noncombustible filter that would remain in tact and continue filtering after the fire. In 1959, Underwriters Laboratories issued the UL 586 Standard for the High Efficiency Particulate Air Filter. The first tested filters were available in 1965. The HEPA filters are capable of removing 99.97% of the airborne particles 3 microns or larger. They must be able to operate at rated flow with an air temperature of 700oF(371oC) and not contribute to a fire within the ventilation system. They must also act as a fire stop to prevent a fire from being transmitted through the ventilation system. While this paragraph addresses HEPA filters, the testing applies to the more efficient filters and filter systems used in modern cleanrooms. The Ultra filter or Ultra Low penetrating air filter would be listed under the HEPA filter listing. These filters are designed for 99.997% efficiency where particles are .01 microns or larger. The filters are available in various listings from recognized testing laboratories. Underwriters Laboratory will list the filters in many ways. Any or all of the components may be listed separately when tested in accordance with the UL test for surface burning characteristics of Building Materials (UL 723). This is the least desirable listing because it does not test all the components in the manner in which they are being used.
Fire Protection Technology 391 The test performance of air filter units (UL 900) tests air filters designed for filters for heating, air conditioning, and ventilation systems other than residential type. They are to be used in accordance with NFPA 90A or 90B. The better filters tested in this manner are given a Class I rating. The Class I filters are those which, when clean, do not contribute fuel and only would emit a negligible amount of smoke. The Class II filters will burn moderately and emit smoke. While this is an adequate test for the pre-filters, it is not representative of the HEPA filters. It is not tested in the manner in which the filters are being used. The UL 900 test would represent a single filter in the ducting system. It uses an oxidizing flame passing over the filtering material and the frame for 30 seconds. The filter cannot ignite or burn for the Class I listing. The low BTU flame and short duration of time is not indicative of real-life situations in a semiconductor facility. The most representative test is the standard of Underwriters Laboratories Inc. for High Efficiency Particulate Air Filter Units (UL 586). These units will generally consist of a filter medium of glass fiber or other inorganic material and a frame of metal construction. It is possible to utilize wood but it will have a flame spread of 25 or less. When tested in accordance with UL 723, test method for surface burning characteristics of building materials, UL 586 tests the filter, frame and supports as a unit. It utilizes a 4" blue flame with direct impingement for 3 minutes. It must remain intact. The UL 586 test apparatus units are up to 2' × 2'. The typical filter used in the modern cleanroom are 2' × 4'. These units are available in this size from major manufacturers constructed in an identical fashion to those tested. They will not be labeled since they are beyond the scope of the test. These are the most appropriate units for use in cleanrooms for the semiconductor industry.
4.4
System Design
The earliest cleanrooms were once through units. These rooms were heavily filtered. When the maximum cleanliness achieved became inadequate, the individual rooms had clean zones added where the cleaner air in the cleanroom was again filtered at the point of use. There was no recirculation of the air within these rooms. These rooms had limitations on the cleanliness they could achieve. The cleanliness was limited to the efficiency of the HEPA filter. It also was costly to treat the air and then release it outside the building.
392 Semiconductor Safety Handbook The next generation of cleanrooms incorporated recirculation. This enabled multiple passes through the HEPA filters and significantly better cleanliness. The recirculating air system in cleanrooms introduced a new loss potential. Instead of the products of combustion being vented after a fire, they would be pulled into the return air duct and recirculated throughout the entire cleanroom. This would contaminate the plenum, HEPA filters and the adjoining areas of the cleanroom. While a HEPA filter is 99.97% efficient, it does little when the smoke being generated would equate to a cleanroom cleanliness rating of 10 million or more. The best protection against smoke is proper design of the cleanroom and air handling system. The importance of noncombustible components and elimination of plastics has already been discussed but cannot be overstressed. Not only should the HVAC equipment be of noncombustible construction but it should be broken up into as many zones as practical. These zones should not have common plenums. There are many designs of cleanroom air handling systems and smoke removal is important in all of them. The most important feature of an air handling system is to shut down the recirculating air system. This can be done by de-energizing the recirculating fan or operating dampers to prevent recirculation. This alone will not limit a loss. The next step is to immediately initiate smoke removal. This can be accomplished by providing a damper in the recirculation duct so that it shuts off recirculation to the room and opens to the atmosphere. This is easily added to systems with dampered returns. This cannot be accomplished without adequate makeup air. Smoke removal systems are improved when they utilize a differential pressure for smoke removal. By shutting down the supply air in the effected zone and increasing the makeup air in the peripheral zones, a differential would be created. The positive pressures in the peripheral zones would keep the smoke in the affected area which would then be under negative pressure. This would also supply the makeup air needed to allow exhaust without trying to pull a vacuum. Other systems accomplish this by opening a duct to atmosphere and increasing air flow to over-pressurize adjoining zones. Yet, another way to accomplish this is dedicated exhaust fans. The exhaust rate should be 3 to 10 cfm, depending upon potential involvement of plastics. With any exhaust system, the fire department should have a manual override. This could be to actuate the system if there is a smoke buildup that hasn’t tripped the system automatically or it could be to shut the system off if they deem it necessary to enhance the manual fire fighting. The smoke exhaust systems should be automatic. This allows the plant personnel to
Fire Protection Technology 393 actuate the system when very small quantities of smoke have been generated. This could not only save very valuable equipment, but it could considerably reduce the time of cleanup. This would reduce production downtime and business interruption. The sampling type smoke detector covered in the Sec. 7.4, Smoke Detection, is the most effective means of actuating this system. This should be located near or within the return air stream. The typical ionization type smoke detector or smoke detectors designed to meet the requirements of 90A & 90B are not sensitive enough for this purpose. Before makeup air is added to the cleanroom, it is pretreated. Here, Class 1 filters should be used. Temperature and humidity should be adjusted at this point, not at the recirculating air handling units.
4.5
Fume Exhaust System
Scope. Besides the air handling system, the cleanroom will have one or more fume exhaust systems. These will vent organics, corrosive oxidizers, and heat from the tools in a cleanroom and prevent their contaminating the clean air within the room. Many fabs have separate exhaust for each of the categories of chemicals. Since one of the objectives of the fume exhaust system is to prevent reaction of chemicals within the system, this is the most desirable means of exhausting the chemicals. Dedicated exhausts used for flammable vapors should be of ferrous construction. Flammable liquids do not have an adverse effect on materials so there is no reason to use plastic ducts. Flammable vapors could condense and the duct should be sprinklered. This condensation of the material could occur where the duct is subject to change in temperature or the solvent stream is subject to a change in velocity. The most desirable means of transporting the flammable liquids is to assure that the concentration of flammable vapors never exceeds 25% of the lower flammable limit. This can be designed into the system and then checked with a flammable vapor detector. Where corrosive chemicals are used, the fume exhaust or ordinary ferris construction would not stand up to the atmosphere. The duct should be of a special metallic duct designed for the specific atmosphere. Many corrosive atmospheres can be handled by stainless steel or other metal ducts. Metal ducts are also available with various plastic linings. These are effective for many additional chemicals but have some adverse characteristics. The thickness of the coating on the interior of the duct is extremely
394 Semiconductor Safety Handbook important. Where possible, it should not exceed 4 mils. A heavier lining would act, in an interior duct fire, just like a plastic duct. One of the concerns of this duct is treating the joints so that it maintains a continuity of the liner. This prevents a weak spot for corrosion to attack. Factory Mutual Research now approves systems designed to eliminate these flaws and they are becoming the norm of the industry. When a plastic-lined duct has inadequate corrosion resistance, it becomes necessary to utilize a duct that will hold up to the very corrosive atmospheres. Here it becomes necessary to utilize plastics. When they must be used, it is important to choose the plastic duct that will least likely contribute to a loss. Common plastic ducts include polyvinylchloride (PVC), polypropylene or fiberglass reinforced plastic (FRP). Plastic Ducts. PVC. PVC duct should not be used where its burning characteristics will significantly add to a loss. The heavily plasticized PVC will burn rapidly and requires interior sprinkler protection. Lightly plasticized PVC does not burn as fast. The burning duct gives off a very thick black acrid smoke and the duct will collapse. This shuts down the exhaust and would push the smoke back into the cleanroom or clean area. In manufacturing facilities where smoke backup is not a problem and this duct work is lightly plasticized and less than an 1/8" in wall thickness, sprinklers are not necessary. There the collapsing will shutdown the flow of air and prevent the spread of fire. In a semiconductor facility, it would not only have the products and combustion backing up, but it would also have any hazardous production materials contaminate the cleanroom because of the restricted exhaust. Polypropylene. Polypropylene duct reacts similar to the thin wall PVC. It is not given a flame-spread rating since it is impossible to test this in an E84 tunnel. The polyethylene duct will melt and drip when involved in a fire. This duct has been used very heavily in the semiconductor industry with sprinkler protection. It is extremely popular for smaller diameter duct work to connect the various tools to the larger transmission ducts. In many wet bench fires, the fire will propagate to the first head and be extinguished at that point. If sprinklers are properly installed, the first head will generally arrest the spreading fire. However, hazardous production materials would be free to contaminate the cleanroom. Fiberglass reinforced plastic duct or FRP duct has been used very effectively for many years. Many of the ducts can be found with flamespread ratings of 25 or less and smoke developed of 50 or less. These are very desirable ratings when tested in accordance with UL 723 and E84
Fire Protection Technology 395 tunnel test. This rating is generally obtained by the addition of antimony trioxide which is a fire retardant additive. Most of this type duct requires sprinkler protection regardless of the low flame spread and smoke generated ratings. They are no longer being used in the manner tested. The Uniform Building Code, National Building Code and Standard Building codes require sprinklers in plastic duct at 10' spacing. Early tests showed sprinklers in low flame spread FRP duct could be effective even at 20' spacing. This has been further increased after special testing was done at Factory Mutual laboratories. They approve the duct for use with sprinkler protection on 45' intervals in the main duct. All intakes to the main duct need to have sprinkler protection regardless of size. Only tested duct systems are acceptable with these extended sprinkler configurations. Their full scale tests uses an ignition source consisting of a 1' × 1' pan of Heptane. The Heptane exposes an 8" header and elbow to a 40' horizontal run of duct and a fan. The fan operates at 30,000 cfm pulling the fire into the duct. To pass the test, the fire cannot propagate on the interior of the duct from the pan to the fan in 10 minutes. What is not a part of this test but should be is the off-gassing of the exterior surfaces of the duct. While the fire would remain on the interior of a heavy FRP duct, the off-gassing of the exterior could contaminate the cleanroom. There are ducts that have been Factory Mutual approved when they passed this without any sprinkler protection. These ducts are acceptable without sprinklers. One way of passing the test is to cut off the air flow. Dampers or damper-like devices have been used to restrict the flow of fire and hot gases. These have the same drawbacks as PVC and are not desirable in semiconductor fabrication facilities. The dampers in ducts are specifically forbidden for venting work stations using Hazardous Production Materials (HPM) by the uniform building code and NFPA 318 “Standard for the Protection of Clean Rooms.” Factory Mutual also lists some ducts that have passed this test with no special sprinklers, configurations or devices. These would appear to be the most desirable plastic ducts. If they will not propagate a fire, they can remain operating if there is a fire at the tool. This would be direct ventilation of the products and combustion at the point where it is being generated. These ducts should only be used for venting of corrosives, and plastics are required. The ducts do require sprinklers within 2' of a plastic tool not protected by interior sprinklers or a special extinguishing system. Many of the corrosives are also oxidizers. Factory Mutual has not tested any of these plastic duct systems in the presence of oxidizers. We
396 Semiconductor Safety Handbook suspect that oxidizers such as Caro’s acid have increased the intensity of fires and wet stations. There is no loss history of the reaction of oxidizers in plastic duct fires, so this is subject to your best judgement. The choice of duct is not an easy one. It is important that the material be the least combustible material that will support the atmosphere it is expected to transport. The duct fabricators must be informed of the material, its state and concentrations before the duct system can be selected. The supplier should be asked to certify the suitability of the duct for the atmosphere being conveyed.
5.0 SPRINKLER PROTECTION 5.1
Scope
The automatic sprinkler is the most important piece of automatic fire protection. The automatic sprinkler system is like having an experienced fireman within 10' of anywhere a fire will start. Many people are worried about the loss that occur from water damage from a sprinkler system but it is nothing compared to the savings in a fire. Even when a sprinkler head operates, it operates much more efficiently than a fire department with a hose stream could ever operate. By the time a fire department arrives with hoses, the area is generally engulfed in smoke and it could be difficult to find the seat of a fire. Water is used much less efficiently and more water damage would occur when hose streams are applied.
5.2
NFPA Codes
NFPA 13 Standard for the Installation of Automatic Sprinklers 1991 is the guide for the installation of sprinklers. NFPA 318 Standard for the Protection of Cleanrooms outlines where sprinklers need to be installed within semiconductor manufacturing facilities.
5.3
Cleanroom Sprinkler Systems
Early cleanrooms were sprinklered using a pipe schedule system. While these were acceptable in the past, they are not being recognized for new installations since NFPA 13 was revised in 1991. In the 1991 revision, they limit the use of schedule systems to 5,000 sq. ft. NFPA 318 “Standard
Fire Protection Technology 397 for the Protection of Clean Rooms” recommends the use of the density system. For these systems, the occupancy determines the gallons per minute needed over an area of application. This is the density required to control a fire. Hydraulic calculations are made to determine the type of sprinkler head and size of piping to obtain the design density based on a given water supply. NFPA 318 recommends a density of 0.20 gpm per sq. ft. over any cleanroom space including the most remote 3,000 sq. ft. The high density is to assure the unique air flows in a cleanroom will not compromise the system by delayed operation or causing the wrong heads to operate first. While sprinkler systems are designed to control a fire, loss history has shown most fires in cleanrooms have been extinguished by 4 heads or less. The larger area of application is a safety factor to make up for the many abnormalities that can occur in a semiconductor cleanroom sprinkler installation. A density of 0.20 gpm per sq. ft. would be available with 23 to 30 heads operating at typical ordinary hazard spacing. When less heads operate, the friction loss through the pipe is less and the initial density is greater. The density of 0.20 gpm requires a higher end head pressure than that of the Ordinary Hazard Group II density. That assures that with more heads operating, the available pressure will keep the head producing smaller droplets that are more effective in fighting a cleanroom type fire. In a cleanroom, the irregular air flows can cause heads to operate remote of the actual fire. Under these conditions, the head at the fire must still operate effectively when it fuses. The modern cleanroom will have vertical flow from the ceiling grid made up of HEPA filters. This would slow the operation of ordinary elements for sprinklers which will have a Response Time Index (RTI) of about 300. Quick response sprinklers have a Response Time Index of 50 or less. Quick response heads will be less affected by the down flow of air at the head cooling the links. The faster response time would help catch a fire in its incipient stage, therefore limiting damage. The sprinkler industry is changing and it should have a positive effect on the protection of cleanrooms. The 1996 Edition of NFPA 13 prepared for the addition of quick response early suppression sprinklers yet there are none manufactured. While standard spray head, and the standard spray head with the fast response link, are designed to keep a fire under control, these heads will be designed to extinguish a fire with a predetermined number of heads operating. This will improve the performance of the sprinkler system but it wouldn’t seem prudent to follow the minimum guidance in its early stages. While less effected by the anomalies, the cleanroom air flow can do some
398 Semiconductor Safety Handbook strange things to the operation of heads. There will also be heads in areas that could be partially blocked or where response time could be effected. There are also other sprinkler developments that may not be as well suited for cleanrooms. These would be items specifically designed to reduce the cost of sprinkler systems. Two items are specialty pipe and extended discharge head. The specialty pipe includes plastic pipe and pipe with wall thickness of less than Schedule 10. The plastic pipe is listed for light hazard occupancies or ordinary hazard occupancies incidental to light hazard occupancies. It should not be used in cleanrooms without approval of the authority having jurisdiction. Thin wall specialty pipes or conduits are now manufactured by numerous entities. These should be used strictly in accordance with their listing and manufacturer’s specifications and recommendations. The fittings should be specifically listed for the pipe being used. Where fittings are unique, spare fittings should be kept at the facility. Before accepting a system, the user should evaluate it for leakage potential and corrosion resistance. There are many areas where a minor leak could create operational problems or long downtimes in a cleanroom. The user needs to evaluate the long impairment if specialty fittings are not available. The newest development is the extended discharge head with fast response links capable of protecting ordinary hazard occupancies. These heads are listed for floor coverages up to 400 sq. ft. These sprinklers will have a number of restrictions and may not be suitable for cleanroom installations. The large area of coverage means the possibility of a head being over 22' from where a fire might originate. With the anomalies of the air handling system, this could slow the fuse of the head and prevent it from catching a fire in its incipient stages. They are vulnerable to obstruction by tools or finish material. Strict adherence to the revised obstruction guidelines in the 1996 edition of NFPA 13 needs to be applied.
5.4
Types of Sprinkler Systems
There are numerous types of sprinkler systems. They can be wet pipe, preaction, dry pipe, deluge or antifreeze. • Wet Pipe. The wet pipe sprinkler system will be used in most cases. It has the water at the head waiting for the fire. It is most common and the least expensive.
Fire Protection Technology 399 • Preaction. The preaction sprinkler system utilizes a fire detection system in addition to the fusible link on the head. The typical fire detection system is tested against a sprinkler head. The fire detection system, when tested, must operate first. With the fire detection system operating first, it opens a deluge valve that allows water to reach the head before the link operates. The advantage of this system is there is no water in the pipes if a head were to accidently open. It requires both the actuation of the head and the detection system to discharge water. Many times the systems are supervised with nitrogen in the pipe to assure integrity of the heads. This would give an alarm even with the sprinkler system impaired. • Double Interlock Reaction. These systems are similar to the dry pipe system. They have air pressure keeping the clapper closed in addition to the preaction-type latching device controlled by the detection system. They are slow and should not be used in cleanrooms. Where installed, they should be removed. In actual cases, air compressors were connected to operate upon a drop in pressure. These systems could require four or more heads to operate. • Dry Pipe. The dry pipe systems are only desirable where adequate heat is not provided. This wouldn’t be used for a cleanroom installation. It might be used for outside storage rooms or unheated areas of exposing building. It is slower than the wet pipe or preaction system and could allow a fire to get ahead of the sprinkler system. It is not acceptable for protection of flammable liquids. • Deluge. The deluge system would not be used in a cleanroom but could be used in cooling towers or flammable liquid storage rooms. The deluge system is designed to catch a rapidly spreading fire in its incipient stage and prevent further spreading. All heads are open and the water is designed for the entire area of operation. It requires a separate detection system like the preaction system. • Antifreeze. The antifreeze system could be used for small unheated outside areas requiring sprinklers. Normally, it is restricted to 20 heads or less.
400 Semiconductor Safety Handbook
5.5 Room Protection The building shell in which a cleanroom is located needs to be sprinklered. With the large unit values in a cleanroom, it is important to prevent an exposure fire from contaminating the cleanroom. Many of the peripheral areas will have higher combustible loading and a greater continuity of combustibles than a cleanroom itself. These will include support areas, storage areas and offices. They should be protected in accordance with the applicable NFPA codes. These would include NFPA 13 Standard for the installation of sprinklers, NFPA 231 for general indoor storage and NFPA 231C for rack storage. There are also areas such as flammable liquids storage areas where recent tests have shown even NFPA sprinkler requirements could be inadequate. This was very obvious when tests were made on flammable and combustible liquids in plastic containers. The best guidance for this type of room can be obtained through the IR information sheets or FM data sheets.
5.6
Service Aisles/Floors
Cleanrooms will include service aisles/floors. These create an extremely difficult challenge for providing sprinklers. It is critical that the sprinklers are located where they collect enough heat to fuse. They also must be located where their spray pattern will not be obstructed. The service aisles/floors with solid ceilings are easiest to handle. The ceiling-todeflector spacing in NFPA 13 needs to be followed. The 1996 Edition of NFPA 13 also added important guidance for horizontal and vertical obstructions. These need to be followed to provide adequate coverage at the floor level. In extremely high cleanliness cleanrooms, the service aisles/ floors may carry Class 10 to Class 1000 ratings. Here they need to be protected by quick response sprinkler heads to assure a timely response. The service aisles/floors will have equipment operating at elevated temperature or exhausts leading from equipment to fume exhaust systems. The heads in the proximity of the equipment should be of the proper temperature rating for the ambient air temperature. Guidance is found in NFPA 13. There are service aisles/floors that are return air plenums. Here a more important decision needs to be made. Are the sprinklers to be located at what would normally be the room ceiling or are they located at the top of the plenum? Sprinklering either might leave you with an under-designed
Fire Protection Technology 401 system. Sprinklers at the top of the plenum and at intermediate levels may be necessary. Locating heads in the middle of a plenum will significantly slow the response of the head. If the piping and conduit is used to form a ledge and collect heat, the response time will be improved. The forming of a ledge by service piping would obstruct sprinkler discharge if only sprinklers were provided at the top of the plenum. When heads are placed at the top of the plenum, very high above the combustible loading, the air flow could misdirect the heat from the head above the fire and prevent controlling or suppressing a fire. The air flow could compound the problem by deflecting the pattern as it cascades from the sprinkler. If there are any obstructions such as conduit, duct, pipes or cables at the top of the operating level, this could further influence the sprinkler pattern. A careful evaluation of sprinklers in this area needs to be made. When modifications to the room are made, these areas need to be reevaluated.
5.7
Duct Sprinklers
When ducts requiring sprinklers are used, a great deal of thought has to be given to the installation. The sprinkler heads must be able to stand up to the hostile atmosphere in the fume exhaust duct. There are numerous heads which are listed for use in these areas. They include lead, stainless steel, turn metal, wax and Teflon coated heads. The most common head used is the field-modified ordinary brass head. A 212oF intermediate temperature head can be coated with multiple layers of beeswax to effectively prevent it from the hostile atmosphere. In addition, the heads are normally encapsulated in a plastic bag. Based on the high challenge fire expected in plastic duct work, this will not significantly slow down the operation of the heads. Recently, we have seen installations where the heads were modified by dipping 212oF heads in paper cups filled with beeswax and allowed to congeal. These are very questionable because of the long exposure to the elevated temperature of the wax and the insulating properties of the massive wax. These field-modified heads are presently being tested to determine how they will perform in real life situations. They are delayed when using the plunge test. The model building codes recommend sprinklers in duct at 10' intervals when the duct is 10" or larger. The testing of the duct work would support sprinklers when ducts are 8" or larger.
402 Semiconductor Safety Handbook Even the best sprinkler heads and systems will require an extensive program of inspection and maintenance. A well thought-out piping system can improve the ease with which this is done. The pipes should be run outside the duct. This will prevent the need for any special coatings on the piping. It also allows for ease of visual inspection of the hangars and fittings. The line should be valved. The line running parallel to the duct should have a single valve for multiple heads. It is not necessary to have a valve for each head. Many of the systems were installed using an ordinary hazard piping schedule. The difference in flow of heads and irregularity of the piping gives questionable results. The sprinkler heads should penetrate the duct from the top and have a union for easy removal. It’s critical where heat producing machinery vents into the system. This would prevent drainage from the sprinkler operating from flowing down the duct to the heat producing equipment and creating a steam explosion. The best solution is a separate metal duct for heat removal. Where ducts are sprinklered, a drainage system to a safe location must be provided. Where inadequate drainage was provided, the systems collapsed with sprinkler operation. Sprinklers for Combustible Tools. Heads should be located at the transition pieces of any tool of combustible construction or handling flammable or combustible liquids. This is regardless of the size of the duct. NFPA 318 took an interesting approach for hydraulics on duct sprinklers. They allow the use of 3/8" heads. This is desirable in smaller ducts. It will reduce the amount of airflow restriction the operating sprinkler would create in the duct. By using less water and finer spray, the spray from the 3/8" head is more effective in extinguishing the duct fire. By putting less water into the ducts, there are less problems with drainage. Any water discharge into the duct has to be removed. Sprinklers discharging into the duct have backed up into equipment. When the sprinklers tie into the trunk duct at the top, there have been cases where drains were omitted and the duct collapsed. Drains can be calculated in a manner similar to drains to prevent ponding on a roof. A rule of thumb would be to use a 4" duct drain for every 50’ of duct work. These drains need to be ducted to a safe location. Obstructions. Sprinklers should be located within the cleanroom where obstructions would prevent wetting of a combustible surface. Wherever obstructions would occur, additional sprinklers are necessary. This will occur under hoods, in pass-throughs and even plenums of wet stations. In most cases, these sprinklers should be supplied by a valve connection to
Fire Protection Technology 403 the ceiling sprinklers. This would also include plenums below the operating level. It is possible to have leaks into the area below the cleanroom. In addition, it is not an easily accessible area. If a fire were to occur, it must be controlled or extinguished automatically since manual firefighting is severely hampered. Some authorities having jurisdiction require sprinklers below the operating floor even when the plenum is 2' or less. This is not a proven practice. Tests were performed in Europe to determine the acceptability of sprinklers below computer room floors. They proved to be ineffective and much better protection was provided by gaseous extinguishing systems. There is a fine line between when sprinklers or a gaseous extinguishing system should be provided below the operating floor. It depends on the clearance, obstructions, accessibility and continuity of combustibles. 5.8
Chemical Storage Areas
The chemical areas will require sprinkler protection. The chemical storage room should be protected in accordance with NFPA 30. All the storage arrangements need to be evaluated to determine the worst case conditions and protection should be provided for that condition. There will be special storage conditions not presently addressed in an adequate manner. Class I, II & IIIA liquids in plastic containers cannot be protected. Tests were made to attempt control for a fire in storage of liquids in plastic containers. These tests even used foam water rather than normal water. Regardless, when there was a spill fire, the protection was inadequate and could not gain control of the fire. Class IIIB liquids can be protected. Specific criteria for this type storage can be obtained through the HPR carriers. Chemical dispensing areas can be protected with automatic sprinklers. The room should have sprinklers on an extra hazard density. They should be 286oF heads and have separate control valves. Gas cabinets require sprinklers if the gas is toxic, flammable or combustible. This would include pyrophoric gases. While no one feels a sprinkler head will extinguish a fire in a pyrophoric gas cabinet, it will give an alarm and keep the area cool. These sprinklers should be designed to 15 psi with the heads flowing in 5 cabinets. Once again, 3/8" sprinklers may be utilized.
404 Semiconductor Safety Handbook 5.9
Added Reliability
The modern cleanroom should have sprinkler systems designed to be more reliable than recommended in NFPA 13. There has never been a multiple fatality in facilities with properly designed sprinkler systems when the sprinklers are in service. It is not the same situation when sprinklers are impaired. Under those conditions, more than one facility has burned to the ground regardless of manual response. It is not difficult to design sprinkler systems for additional reliability. The recommended control valve for special hazards such as ducts and chemical dispensing rooms is an important part of this plan. There are areas where incidents are more likely to occur. If an incident occurs, it will not be necessary to impair the entire ceiling sprinkler system just to work on one or two heads. The room sprinklers would still be available and could still possibly catch the fire in its incipient stages. The size of the sprinkler system can also help limit the size of the area that would be impaired. NFPA 13 allows sprinkler systems on ordinary hazard occupancies to cover 52,000 sq. ft. For cleanrooms of this size and even smaller cleanrooms, designers should consider multiple sprinkler systems. The water supplies are chosen and designed for redundancy. This should continue in the sprinkler installations. One lead-in from the yard main should be provided for every 25,000 to 35,000 sq. ft. of floor area. Not less than 2 lead-ins should be used for any cleanroom. They should be connected to the opposite side of a yard P.I.V. or divisional valve. The leadins should be sized so that one supply can provide enough water for the sprinkler systems. The lead-ins should not be run in the same trench. If they are going to be run in the same vicinity, they should be no closer than 10'. Where sprinkler lines enter the building and they are closer than 10 feet, excavation to repair a line would require both legs be impaired. They should enter the building where they will be accessible for inspection and maintenance. The location should not be in an area where they can be accidentally or maliciously closed. Where the riser enters the building, it should be provided with a “T.” Above one leg of the “T,” the system should be provided with an alarm check valve and then become the bulk main riser to various levels. Each level should have its own OS&Y valve and 2" drain and inspector’s tests. Where lead-ins are relatively close to centralize, other precautions can be taken to limit the effect of an impairment. The right side of the building can
Fire Protection Technology 405 be supplied by one system on one level and the adjacent system at the next. The ceiling sprinklers can be provided off one system and the sprinklers for the ducts and adjacent systems can be provided off adjacent systems. Impairments cannot be prevented when you are working on a system but you can influence the affected area. Looping and valving prevents the improperly closed valve or a single maliciously closed valve from affecting protection.
6.0
WATER SUPPLIES
6.1
Scope
In order to make the sprinkler protection effective, a good water supply is needed. In an ordinary hazard occupancy, the demand of the sprinkler system plus a hose stream allowance available should be at 50 psi. In an extra hazard occupancy, the demand of the sprinklers plus the hose stream allowance should be available at least 75 psi. This will allow the sprinkler system to be economically designed. Sprinkler systems can be designed based on lower pressures but the effectiveness and cost of the design of the system may not be as reasonable. In order to effectively increase the density of the system, the heads can be located closer together. The minimum spacing for ceiling sprinklers to prevent skipping is thought to be 6 ft. When heads are spaced closer than 6 ft., a baffle is needed between the heads to prevent prewetting of the adjoining head. Traditionally, the minimum pressure on a sprinkler head has been 7 psi starting pressure. However, at that pressure the heads are not creating fine droplets and they are not as efficient as sprinkler systems designed at higher pressures. Larger pipes and closer head spacing can be used but not without a cost. It is more expensive to hang larger pipe especially with more individual sections. It is better to have the water to support more traditional sprinkler systems with regard to spacing and pipe size. Guidance is given in NFPA 20 “Installation of Centrifugal Fire Pumps,” NFPA 22 “Water Tanks for Private Fire Protection,” and NFPA 24 “Installation of Private Fire Mains and Their Appurtenances.”
406 Semiconductor Safety Handbook 6.2
Primary Supply
The primary supply is normally thought to be city water. It is water that is available without a prime mover. City water supplies will generally have redundant pumping or gravity supplies for reliability. The disadvantage of city water systems is that the piping installation may not conform to the rigid standards outlined in NFPA 24 Standard for the “Installation of Private Service Mains and their Appurtenances.”
6.3
Booster Pumps
There will be times when the pressure provided by the municipality is inadequate. When you have a very flat water supply curve, booster pumps are needed to raise the pressure to a pressure beneficial for efficiency of the system and economical design. The low-pressure high-volume system is characteristic of many mid-West areas. These systems have large wellgridded mains and gravity supplies. A booster pump can be added to this system to increase the pressure. One hundred fifty percent (150%) of the rated design of the pump should be available at 20 psi. This would prevent bringing the pressure in the city mains down to a dangerous level. In addition, enough pressure should be available to ring an alarm at the highest level of sprinklers with the pump impaired and the bypass open. There will be times when the municipal water system is inadequate for sprinklers, yet you cannot use a booster pump. When there are long runs of dead end mains, the friction loss will cause the water supply curves to be very steep. This will mean high static pressures and only small flows will cause large decreases in the pressure. If you install a booster on this type of system, the churn of the fire pump could be above the 175 lbs. (maximum working pressure for most sprinkler systems). A pump can be added to this type of system by using a surge tank. A surge tank would consist of a tank capable of providing 30 minutes of water with the pump operating at a 150% of capacity. An automatic refill is provided for this tank to assure a minimum of 3 hrs. operation.
6.4
Primary Fire Pumps
A single pump and tank is not an adequate water supply. It requires the prime mover to operate. A satisfactory pumping supply is thought to be
Fire Protection Technology 407 two fire pumps taking suction from two tanks. This would allow for failure of one unit without a reduction in effectiveness. At some time, tanks will need to be emptied and cleaned, impairing all pumps if a single tank is used. 6.5
Drivers
The three drivers recognized by the NFPA code on fire pumps are diesel, electric and steam. The diesel fire pump is the preference of most insurance companies. They have a long history of satisfactory service. These units tend to be more expensive especially when they are used with vertical turbine pumps. With vertical turbine pumps, they require a rightangled drive which significantly increases the cost. They are self-contained and do not depend on outside utilities. Diesel Pumps. These are normally considered the most reliable. They tend to be more expensive than electric pumps. They are very expensive when used in the vertical turbine configuration. Electric Pumps. Electric pumps have been used very successful but they are only as reliable as the utility company providing the power. They are subject to impairments especially under fire conditions. When the fire department comes in to fight the fire, the first thing they do is de-energize the electrical equipment. It is important that strict attention be given to the wiring requirements given in NFPA 20. This will bypass the main shut-off for the facility and allow the pumps to remain in service when facility power is disconnected. Many people attempt to utilize auxiliary power backups in conjunction with electric pumps for fire protection. This is normally much more expensive than providing a diesel pump. It is also critical to watch what other electrical devices are fed from this type of backup system. It is important that the diesel generator is capable of starting when the fire pump is running and also capable of starting the fire pump when there is failure of the municipal power. The cost of electric fire pumps can increase drastically when they are large pumps located remotely from the main electric feed to the plant. This requires large cables run in a manner not subject to physical damage or damage from fire. It could also require additional switchgear and transformers. Steam Pumps. Steam pumps are normally found at large paper mills and other facilities with reliable steam and large boilers. Unless a semiconductor manufacturing facility had a cogeneration facility providing steam, the steam may not be practical.
408 Semiconductor Safety Handbook Types of Pumps. The two major type pumps are vertical turbine and horizontal split case. The horizontal fire pumps are designed to take suction from an above-ground reservoir or be used as booster pumps. It is important that these pumps not be used for suction under lift. Horizontal fire pumps are traditionally designed to churn at 120% of rated capacity. They will have a rated capacity for volume and pressure and they will provide 150% of the rated volume at 65% of rated pressure. Present codes now allow the churn pressure to reach 140% of rated pressure. Many plants consider using ordinary domestic water pumps. The domestic water pumps will differ from UL listed pumps and should not be used. The churn pressure is usually much greater than the design pressure. When you exceed the designed flow of a domestic water pump, the pressure drops off very rapidly and the pump does not work efficiently. If you compared the curves of a domestic pump and a UL listed fire pump, a 1,000 gpm at 125 psi fire pump would have a similar curve to a 1,500 gpm at 81 psi domestic water pump. The vertical turbine pumps appear to be used for suction under lift. In reality, they are also under head because the impeller is below the water level. This can be used for suction from wells and below grade reservoirs, and are sometimes configured into can pumps. These pumps will churn at 140% of rated pressure. They can also provide 150% of volume at 65% of rated pressure. Secondary Supplies. Many times at semiconductor facilities, multiple water supplies are required for redundancy. The reliability of the double pump and tank arrangement as a primary supply would also qualify as a secondary supply. Many times, even if there is satisfactory private supply, a secondary supply would be recommended. This is the ability to have water on site even with an impairment to the municipal water supply. When a secondary water supply is provided, the sprinklers should be designed to the weaker water supply. If the sprinkler demand is 1,000 gpm, sprinklers would be designed to a 50 psi residual pressure provided by the city water in lieu of the 100 psi provided by the fire pump. Many times to take advantage of the higher design pressures and use smaller sprinkler pipe, a booster pump would be also provided on the city water to match pressures to a pump and tank. In a facility with a large number of sprinkler systems, the smaller pipe and easier installation could make the overall system more economical. A system of underground mains is needed to bring the water to the sprinkler systems. The underground mains should be designed for redun-
Fire Protection Technology 409 dancy. The NFPA codes are mute on what is required for feeding a sprinkler system. The building should be surrounded by a circulating water main. The main should be at least 8" in diameter. Where hydrants come off and run on dead-end legs, that leg should be at least 6". The underground main should be constructed of a UL listed plastic pipe or have a coated interior. This will prevent deterioration of the main and the water supply. Valves should be provided so not more than 5 sprinkler systems or hydrants would be provided from any section of the main. Sprinkler leadins where possible should be on opposite sides of a sectional control valve. Hydrants on these mains should be no more than 250' on center. They should be located near access areas to the building.
7.0
SUPERVISION
7.1
Scope
If cleanliness and products of combustion weren’t a concern, the reliability of the sprinklers would leave the fire protection engineer with a very high comfort level. Even in modern manufacturing facilities not using cleanrooms, sprinklers are enhanced by surveillance systems. With sprinklers designed to control fire and not necessarily extinguish it, some manual response will be necessary. Surveillance assures getting the manual response in the incipient stages and limiting damage and contamination.
7.2
Water Flow
The first surveillance necessary on all manufacturing facilities is sprinkler water flow. The simplest of all water flow alarms is the local alarm. This is historically been the water motor gong. The water motor gong operates by an orifice inset into an alarm check valve. When the alarm check valve opens, water enters the piping to the water motor gong. There is a retard chamber between the alarm check valve and the water turbine used to ring the gong. The retard chamber works on the principle of a leaky pail. As long as water is entering the retard chamber slowly by movement of the alarm check valve, the retard chamber will not fully fill up and operate the turbine. It has a small orifice to allow minor amounts of water
410 Semiconductor Safety Handbook to escape. Only when the retard chamber is held open with large amounts of water running will the retard chamber allow water to go the turbine and operate the water motor gong. The retard chamber will prevent false alarms. Historically, where properly maintained and tested, the water motor gong has been very reliable and an asset to the overall fire protection system. Water Flow Alarms. Alarms have been enhanced to provide more reliability. This is accomplished when an excess pressure pump is put on the riser above the alarm check valve. This will prevent the clapper in the alarm check valve from opening on small surges. In addition, it will use a pressure switch that will trip an alarm even with the sprinkler control valve closed and no water flowing. These are often used where there are pumping supplies or a fluctuating city water supply. Another type waterflow alarm is the electric bell at the riser. This would be initiated by a vane type water flow alarm in the riser or a pressure switch on the retard chamber. The vane alarm can be electrically dampered and the pressure switch will utilize a retard chamber on a alarm check valve to dampen surges. The electric bell is less desirable than the water motor gong since it requires electricity where the water motor gong is selfenergized. While alarm check valves are devices for the main risers, it would be impossible to put these alarm valves after every special hazard control valve. Even floor control valves would not usually have alarm check valves. The paddle or vane type alarms are best suited for these areas. They are less desirable for main risers since they sit in the stream of water. There have been cases where the paddle is corroded off at the arm. This can be discovered by regular testing but this could leave the pieces in the system. Since they would only be carried in the stream by water in a totally gridded system, you would have no idea at what point the debris would stop.
7.3
Valve Tamper
Since sprinkler systems can only be effective if the valves are open, it is important to supervise the valves. Valve supervision should consist of all valves 2-1/2" and over or controlling sprinklers for critical hazards. It is a simple switch attached to an indicating valve to detect movement. It detects valve closure when the valve has been turned but would not provide an alarm if the seat of the valve drops. Some HPR carriers recommend the valve be locked in an open
Fire Protection Technology 411 position and others recommend that the valves be sealed and inspected. All systems have proven to be effective programs when diligently administered, but none are foolproof. The drop-in-pressure type alarm is a satisfactory alternate to valve tamper supervision. It has the benefit of being able to transmit a waterflow alarm even if the valve is shut or the gate has dropped. Where valve tamper supervision is an afterthought, it could require excavating lawns or driveways to install cabling. There is now modern listed or approved equipment to avoid this excavation. Supervisory equipment is available utilizing radio waves to replace the costly wiring and excavation. 7.4
Supervision of the Fire Pump
Fire pump supervision should include pump running and room temperature checks on all pump installations. For electric pumps, the supervision should include power failure and phase reversal. For diesel pumps, supervision should include engine trouble and switch-not-in-automaticposition detection. There are many other common and uncommon supervisions available. 7.5
Other Alarms
These could include operation of special hazards equipment, building temperature supervision, supervision of city water pressure, tank level and temperature, dry pipe air pressure, supervisory pressure in preaction systems, smoke and gas detectors. 7.6
Smoke Detection
Smoke detection is one of the early warning systems needed in semiconductor facilities. It can be a stand-alone alarm system or a part of a special release system for fixed extinguishment. Although many of the detectors can be engineered to work, there are two that have gained widescale acceptance in semiconductor facilities. Overheating of electrical heaters used to heat baths in plastic wet sinks has proven to be the most prevalent type of loss. Sprinklers have been successful in stopping the spread of the fire but anomalies of the cleanroom have made them slow, allowing contamination. An early warning detection system is desirable. Detectors are tested against the sprinkler head and must actuate faster to obtain their listing.
412 Semiconductor Safety Handbook Smoke detectors are not designed for the hostile atmosphere of a wet station where they are needed. The construction of conventional smoke and heat detectors will not stand up. A Southern California company developed a photoelectric detector that is mounted outside the plenum and searches for flame through a plastic window. These units did not have a stand-alone listing or approval but are Factory Mutual approved as part of a fixed extinguishing system. These are no longer manufactured. The analog detector has been replaced with a multispectral digital detector. It covers several bands. The detector is protected by enclosure in a polypropylene box. The other accepted detector is the sampling type detector. These differ from conventional sampling duct or HVAC detectors found in office building HVAC systems. They are much more sensitive than the ordinary photoelectric or ionization type detector. These detectors have the capability of detecting smoke obscuration in the range of 0.03–0.003%. These detectors can be very successful as early warning devices when used in the return air plenum of the cleanroom air handling system. They can be set for multiple levels of sensitivity. The first level could sound a pre-alarm and a second level could shut down room recirculation and initiate exhaust. These detectors can also be used on sensitive equipment known for their frequency of serious incidents. Ion implanters have had electrical problems with their power supplies overheating, causing a fire. This is critical with the new ion implanters which have oil filled transformers. The fire will attack plastic lines used to supply the doping gas to the reactor chamber. This type of detector is sensitive enough to detect the overheating and prevent the ignition and release materials that would seriously contaminate the cleanroom. 7.7
Other Detectors
There are other supervisory devices rarely used in other industries that have gained acceptance in the semiconductor industry. Hydrogen is used in extensive quantities throughout the semiconductor manufacturing areas. There have long been detectors capable of picking up this gas in very small quantities. They should be provided at all points of hydrogen use and supply. They need not be located where piping is contiguous. Where fittings are used, double containment is recommended. Detectors should be sensing leaks within the outer chamber of the double containment.
Fire Protection Technology 413 Many of the toxic gases are transported or diluted in hydrogen. While the hydrogen can be detected, it would be inappropriate to use hydrogen detectors for this purpose. A toxic leak should be detected in the parts per million range. Detectors capable of detecting the toxics need to be utilized. They should be provided at the dispensing area and at the point of use. The specific areas must be evaluated for the most likely place the material can be detected in the earliest stages of a leak. Flammable liquid detectors should be located anywhere a mixture could exceed 25% of the lower flammable limit. 7.8
Signalling Systems
All detection systems require some type of human intervention. Common surveillance systems include local, remote station, proprietary and central station signaling. The local system is basically the water motor gong or local electric bell. These will ring at the riser with retransmission to other parts of the facility. It is a crude surveillance system for a semiconductor facility but it is a necessary part of every sprinkler system. Remote Station. Remote station signaling is not as common today as it once was. With the new technology in central station and proprietary systems, there is little need for this type of signaling. The theory behind this system is that it is supervision provided by a third party without the certification or listing of that third party. An extensive review of equipment and services is needed before it should be considered satisfactory supervision. Auxiliary Signaling. Auxiliary signaling is the provision of alarms by the municipality. A municipal alarm cable will connect the water flow at a facility and the local fire or police department. These alarms are seldom used as stand-alone supervisory alarm systems, but some municipalities still require industry to tie into their existing systems. Central Station. The central station supervisory system has been the norm in the semiconductor industry. The size of the semiconductor facility warrants the reliability that comes with this level of protection. Equipment is specifically listed for this type of service. Signals from the devices are sent to a remote location approved by Factory Mutual or listed by Underwriters Laboratory. Upon receipt of a fire signal, a central station must alert the fire department.
414 Semiconductor Safety Handbook Even with the historical reliability, industry must be a knowledgeable buyer when dealing with central stations. There have been many changes in standards for proving central station supervision. Some of the services need not be done by the listed central station but can be contracted out. The listed central station may not be providing central station service to an unknowledgeable customer. They can choose to sell remote station supervisory service if that is what they feel the client is willing to buy. This will not meet the performance criteria outlined in NFPA 71. Many services inherent to central station supervision can be omitted. The two most important items in buying or reviewing central station supervision are the contract and the central station certificate. The contract will allow a user to see the services provided and that these services meet his current requirements. The certificate is assurance that the installation and services provided meets the criteria outlined in NFPA 71 at the time the system was installed. A certified central station could be inspected by Underwriters Laboratory since 10% of the installations are inspected annually. This is to ensure that central stations are doing their job. When these certificates were first introduced, some central stations were asking for a considerable fee for the difference in the installation. This bewildered the people at Underwriters Laboratory since there was a very nominal cost involved with issuing the certificate. The installations should have already conformed with the current NFPA codes. There seemed to be no justification for the cost. Today, more central station offices provide the certificate service and this type of service is performing well for all types of industry.
7.9
Proprietary Supervisory Service
With the hazardous materials used by semiconductor facilities, the hazardous response team is vital. Already having this type personnel makes the proprietary system a very effective means of supervision. The criteria for a proprietary system is clearly indicated in NFPA 72. Equipment is specifically listed for this type of service. It is much more involved than having a local alarm annunciated at a constantly attended location on premises. There is specific criteria for wiring, staffing, retransmission and record keeping. When properly managed, this is also an excellent type of supervision.
Fire Protection Technology 415
7.10 Watchman Service Watchman service has long been popular with the industry. Some are capable of excellent service and others were only a token supervision. A guard service could consist of college students who found an opportunity to work and study at the same time. Many others had retirees hired without concern for their health and physical condition. With some guard services, guards change often, and time was not taken to train the replacement. As a result, a less than satisfactory service was being provided. When management understands the value of human intervention at the incipient stages of a fire, they will choose watchman service to supplement alarm service. This personnel may fall under any number of departments. In the semiconductor industry, many will be loss prevention professionals and it would not resemble guard service in the smokestack industries. These people would be aware of the inherent hazards of the production materials being used. They would recognize the low level of a heated bath or vibration in a scrubber or any other condition that would eventually lead to an incident. They can react intelligently and take only the needed precautions. When professionals on the hazardous response team are used for this function, there may be a stigma attached to the watchman’s punch clock. This can be overcome by other recording methods. Many will use identification cards for wired receptacles transmitting a signal back to the proprietary station. This gives an immediate whereabouts of the guard and also a permanent record. Many companies have used today’s existing technology to accomplish the same results even though the equipment is not listed specifically for that purpose. Some commonly used technology is already being used in semiconductor fabrication facilities. A common approach is the card entry system. When the guard enters an area secured by a card entry system, the card will send a coded message to the proprietary station much the same way as the central station key system. Another innovative system is motion activated TV’s in normally unoccupied areas. Motion activated TV’s are much more suitable for human response than the close circuit TV that is constantly running. An operator can quickly become complacent to a large number of
416 Semiconductor Safety Handbook screens running constantly. However, if a dark screen on a motion operated closed circuit TV activates, it will alert the guard. These can not only be used to record pathways of guards but can be used to monitor critical processes. Where equipment is not listed or approved for the specific purpose for which it is being used, it should be reviewed by the authority having jurisdiction.
8.0
CHEMICAL HANDLING
8.1
Scope
The semiconductor fabrication facility appears to be very safe with its clean white walls and yellow lights. In reality, the hazards and the reactions of the materials involved makes it take on a likeness to a miniature High Hazard Chemical facility. Chemicals in all phases are used. Some materials are used in more than one phase. Many of the chemicals are combustible, flammable, pyrophoric, toxic, inert, oxidizers, acids, etc. In the semiconductor facility it is critical that only the desired reactions will occur. It is not only important that they properly react in the manufacturing process, it is just as important they are not permitted to react in storage and disposal.
8.2
Gases
There are certain gas handling procedures that are common to all compressed gases. The pressure hazard alone can be dangerous regardless of the gas. The pressurized cylinder requires routine hydrostatic testing. This only assures the fitness of the cylinders at the time of the test. How it was treated or conditions the cylinder were exposed to could allow failure at that time. When cylinders are handled, they should be treated with great respect. Losses have occurred when drivers would deliver cylinders by free rolling them down planks off trucks. When cylinders hit, they ruptured the neck releasing gases. When being moved, they should be transported on carts. When stored, they should be segregated by type such as flammable, oxidizer and poison. They should be properly secured with chains in areas
Fire Protection Technology 417 of storage and use. Flammables should be further separated by keeping pyrophorics and ordinary flammables divided by a physical barrier. Flammable gas should be stored in a room cut off from the fabrication building by a pressure resistant wall having a four hour fire resistant rating. The wall should be blank and can be tied into the steel of the main structure but not the gas room itself. The wall should be capable of withstanding at least 100 psf. The exterior walls should be designed to relieve. They should be so located that when relieving an explosion, it would be to a safe location. Many people design their rooms with the relief venting in the ceiling. This is less desirable. Some rooms must be designed with relief in both the floor and roof or the room must be redesigned to be long and narrow. When the roof is used for relief, snow load must be taken into consideration. Electrics in the room should conform to the National Electric Code (NFPA 70). Incorporated into the gas storage room should be a leaker room. Since cylinders are normally delivered by truck with varying road and weather conditions, it is difficult to determine how the condition of the cylinder at the filling station would compare to the cylinder that actually arrives at the plant. There have been numerous incidents of fitting and valve problems. A leaker room with proper electrics, fume treatment, and ventilation can prevent a catastrophe. When the leaking cylinder arrives at a facility, it is too late to decide how to treat it. This must be thought out well in advance and adequate facilities for containing or neutralizing must be already available. Additional information on the storage of these gases can be found in NFPA 318 Chapter 6, Hazardous Gas Cylinder Storage and Distribution. Toxics. When stored in areas with ordinary gases, toxics will hamper manual fire fighting response. The toxic gases are not normally considered a fire problem but by preventing manual response, they are. They should not be stored where ordinary combustibles are stored. All toxic gases should be dispensed from vented sprinklered gas cabinets. Bulk Storage. Three gases are commonly handled in bulk rather than cylinder. These are hydrogen, nitrogen and oxygen. The guidance on the storage of these materials are found in NFPA 58, Gaseous Hydrogen Systems at Consumer Sites; NFPA 50B, Liquid Hydrogen Systems at Consumer Sites, and NFPA 50, Bulk Oxygen Systems at Consumer Sites. Nitrogen is not specifically covered by an NFPA Standard at this time.
418 Semiconductor Safety Handbook Silane is now being handled in bulk tube trailer systems. Guidance will be available in the 1998 rewrite of NFPA 318. Detonable Pyrophorics. Silane (SiH4) is the best known of the detonable pyrophorics used in the semiconductor industry. It is widely used throughout the semiconductor fabrication process. It is responsible for many of the largest losses in the semiconductor industry. Tests were conducted in the late 1970’s to determine the hazards of silane. Even with small scale testing in our universities and this large scale test, silane is not yet fully understood. This gas discovered in 1867 remains unpredictable and its unpredictability makes it very dangerous. Testing involved the catastrophic release of silane through a CGA valve. Ignition was delayed and it resulted in an explosion rather than ignition in the early stages of release. The objective of safe handling procedures with a detonable pyrophoric is to have it ignite as soon as possible. The unpredictability of these gases make management programs critical. There have been incidents where contaminated cylinders have resulted in explosions. The suppliers will eventually be required to provide a history of the cylinder containing a pyrophoric or reactive gas. Today, all a semiconductor manufacturer can do is question their supplier as to their practices. Silane can be provided in many types of mixtures. Mixed gases can include inert, flammable or toxic supplied in the same cylinder. Unless critical to the process, silane should not be mixed with toxics. New processes should be designed to prohibit this mixing. It should only occur in very few existing processes. When silane is mixed with toxics, the users must also follow all ordinances for the handling of toxic gases. The silane cylinders should be of ferrous construction. Some distributors are now providing 6061 aluminum cylinders. These cylinders could fail at approximately 700oF. These cylinders should be avoided and two cylinders should never be used adjacent to one another. The CGA valves should be constructed of 316 stainless steel. Brass valves are much more likely to fail. The stainless steel valves are readily available and not a problem for suppliers. Most CGA valves are provided with a restricted flow orifice (RFO). The restricted flow orifice is the most important contribution to silane safety. It will reduce an unrestricted orifice to a maximum orifice size ranging from 0.006 inches to 0.015 inches. It is desirable to limit the flow to 30 liters per minute. With silane, some process engineers argue that these orifices clog. At the 1990 Semiconductor Safety Association Conference, a semiconductor manufacturer indicated they recorded 1600 points without a single incident of clogging. The use of the restricted flow orifices with
Fire Protection Technology 419 dichlorosilane is not as well substantiated. While the 0.006 orifice may clog, the 0.015 orifice appears to be performing satisfactorily. Additional tests were made using restricted flow orifices. They performed satisfactorily preventing an explosion. When a silane cylinder will be delivered to the user’s facility, the user should coordinate emergency procedures and testing with the supplier. Instances have occurred where a driver delivering a hazardous gas ran rather than initiating proper emergency response procedures with leakers. Training is needed by those delivering the gas as well as those receiving it. Any cylinder should be inspected prior to removal from the truck. Once removed, they should be inspected closer. When secured, the protective cap should be removed using gloves or a specially designed wrench. Many CGA valves were damaged by improper cap removal (placing a pipe through the holes in the protective cap will often damage the cylinder valve). The valve should then be tightened. Only then should the cap on the CGA valve threads be removed. It is common for silane to be trapped in the area between the cap and the closed valve. The release of this silane will result in a large pop. It is suspected that vibration of the cylinder during shipment will allow some leakage from the valve. Where testing and unloading is performed, there should be properly designed leaker cabinets. This is critical where gases are also toxic. The cabinet needs to be vented to the same type of fume treatment you find on the process machinery. Incineration prior to scrubbing is preferred. Once accepted, the facilities must store the cylinders. The best way to store a detonable pyrophoric is in the open. Since cylinders should not be exposed to temperatures above 125oF, a sunshade becomes critical in the southwest. Considerations of ice and snow need to be evaluated in the north. Bunker storage has been considered. This becomes very costly since a bunker might need to be designed to 80 psi. A well-designed fire wall will withstand only about 1 psi. Cylinders should be stored in a remote location. Enclose the area to the minimum necessary to protect the cylinders from the weather. Limit storage to only the pyrophorics. It should be limited to the fewest number of cylinders possible. Do nothing to obstruct natural ventilation or provide forced ventilation. Protect the cylinders from mechanical damage. Transport cylinders only in a vehicle specifically designed to move gas cylinders. The vehicle needs to be able to remain stable over all terrain that it may travel and have restraint for the cylinder. Retain the RFO in the CGA valve. Transport only with the cap and safety top over the valve.
420 Semiconductor Safety Handbook As in storage, the dispensing of a detonable pyrophoric should be as remote as possible. A single cylinder on a remote pad secured and with minimal weather protection is preferable. The detonable pyrophorics need to be provided with a purge panel. Purge panels vary in design but should include similar devices. This should include tied diaphragm regulators, normally closed valves, VCR connections, hand-loaded or pneumatically operated regulators, check valves on nitrogen side of each isolation valve, and vent lines to a safe location. Tied diaphragm type regulators should be used. Two regulators should be used rather than a dual stage regulator. This allows for complete purging. Remote and excess flow shutdown needs to be provided. The excess flow should be sensed on the high and low pressure sides of the regulator. All detonable pyrophorics require a purge gas such as nitrogen. The inert gas cylinder should be dedicated to the cylinder of pyrophoric gas. Under no circumstances should the purge gas be from a common house system. The cylinders of purge gases and pyrophorics should be combined at properly designed purge panels within a weatherproof enclosure. Loss history has been good where the cylinders are located outside the building. It is important that the building wall is blank with at least a 3 hour rating between the cylinders and the fab area. A 100 psi pressure resistant rating is also desirable. While wing walls of ferrous construction would prevent impingement of one cylinder on the other, it could disrupt air flow enough to allow an explosion rather than early ignition if the RFO were not provided. This arrangement should not be considered without a RFO and excess flow valves with automatic shut-off. Some authorities having jurisdiction will require detonable pyrophorics be located in gas cabinets. All toxic gases mixed with detonable pyrophorics must be located in gas cabinets. Gas cabinets should be constructed of ferrous material of not less than 16 gauge. Gas cabinets should be sprinklered. Gas cabinet doors and louvers should be self-closing. The purge gas shall be an integral part of the gas cabinet installation. Gas cabinets for more than 3 cylinders should not be used. Only compatible gases should be located in the same gas cabinet. Air flow should be designed for 100 ft. per minute. This equates to 300 cfm for a 2-cylinder gas cabinet and 450 cfm for a 3-cylinder gas cabinet. The cylinder shall be bonded to the cabinet and the cabinet grounded. Proper incineration and fume scrubbing treatment should be provided. If a RFO is not used, it would be virtually impossible to design a system to handle a catastrophic release from a CGA valve. These cabinets can be located outside the building adjacent to
Fire Protection Technology 421 a blank wall. Sprinklers are not intended to put the fire out but rather to provide cooling and sound an alarm. The ventilation system needs emergency power. Gas rooms present a greater potential for an explosion. The walls between the gas room and the fab should be designed for 100 psf with the other three walls designed to receive at 25 psf. Piping of gases should only be in stainless steel pipe. Double wall pipe is preferred with monitoring in the outer chamber. The best available technology would indicate the piping be tested 2 or 3 times the working pressure. The leak rate of the helium would be 1 × 10-6 to 1 × 10-9 ccs per second. Since we are talking about a 0.25 inch or less tubing with a nominal wall thickness of 0.035 inches, care must be taken to protect against mechanical damage. This would include sleeves where it penetrates walls and floors. The tools utilizing the detonable pyrophorics need to have a connection panel similar to that found in the dispensing area. It is important to maintain 100 ft. per minute across any opening to prevent stagnation of air. The piping in the dispensing system is the area where most silane incidents occur. Fortunately, most incidents are not serious. When actuating the system, valves should be opened in a sequence from the cylinder to the tool. It should be shut off in the exact opposite sequence. The tools may not react 100% of the pyrophoric gas. Some unreacted pyrophorics will be entering the exhaust. Fumes should be treated at the tool. Incineration dilution and scrubbing are all possible means of point-ofuse fume treatment. In exhaust from tools using pyrophorics, a burn box may suffice. This allows for a change in velocity to ignite pyrophorics. It is simply a small line entering a larger box with enough oxygen for combustion. With a tool using other gases that are not pyrophoric or a tool that utilizes an oil vacuum pump, fueled burn boxes could be used. This incinerated exhaust can then be cleaned in the house scrubbers after being incinerated at the point of use. Flammable. Whenever possible, flammable gases should be delivered in a closed pipe system. Only compatible gases should be dispensed from the same area. The one recent improvement for handling of flammable gases is the restricted flow orifice. While this was originally designed for use with silane, it would lessen the fire hazard of any flammable gas. The restricted flow orifice is normally designed for 30 liters per minute but it is questionable how that number came about. If a hydrogen system uses 50 liters per minute, it certainly shouldn’t be designed for 30 liters per minute. On the
422 Semiconductor Safety Handbook other hand, if a process uses 1 liter per minute, it wouldn’t be designed for 30. It is, however, a good starting point. It happens to be the flow that would be obtained from a typical silane cylinder with a 0.006 orifice. These orifices will make the fume treatment systems more manageable. It will allow sizing the equipment properly rather than trying to treat a massive release. These restricted flow orifices also show promise with toxics but have some inherent problems with corrosives. It is recommended that all flammable gases are dispensed from gas cabinets. These cabinets should be sprinklered. The cabinet systems will normally incorporate a purge panel. It is suggested that these panels are of an automatic type and have shut down on excess flow. There are many different panels available. If possible, the same panel should be used throughout the facility. This will prevent turning the wrong valve at the wrong sequence and creating a hazardous condition. Today’s automated panels seem to be valuable since they eliminate a very important yet monotonous job. The repetition of the opening and closing of valves in a specific order for a large number of cycles could lead to a very costly mistake. This has allowed the unwanted mixing or release of gases. Tubing for transporting gases from the dispensing room to the tool should be constructed of materials compatible with the gases being used. Whenever possible, flammable gases should be transported in ferris lines. There should not be any fittings or connections unless absolutely necessary. Anytime a fitting is used, it creates the potential for a leak. Welded connections are preferred. Concentric piping should be utilized where failure of a single line would create a severe loss or go undetected. This could be where the line runs in an unoccupied area such as below the floor or above the ceiling. Breaks in that area would not be readily detectable. More specific guidance on piping of gases is given in NFPA 318, Standard for the Protection of Clean Rooms, Ch. 6, for construction and testing of the piping system. This is one of those areas where everyone must remember the codes are a minimum. You can use the code for a starting point and determine by analysis the specific needs of your system. Training. Staff training has to be a very high the priority for safe chemical handling. They need to be trained on how to keep the chemicals safe. Mixing the wrong chemicals or trying to extinguish a fire with the wrong agent could be catastrophic. The staff needs to be trained in chemical recognition and handling. They need to coordinate the company programs with those of the fire department. A fire department that is untrained in semiconductor chemicals could create an equally serious hazard, or they may take unnecessary risks.
Fire Protection Technology 423
8.3
Liquids and Solids
Scope. As with gases, the avoidance of mixing reactive chemicals is important. Chapter 5 of NFPA 318 concerns itself with methods for storage and use of liquids and solids of a hazardous nature. NFPA uses the term Hazardous Chemicals and the Model Building Codes use Hazardous Production Materials. These are chemicals with specified ratings of health, flammability, or reactivity when tested in accordance with NFPA 704, Identification of the Fire Hazard of Materials. Flammable liquids and oxidizers are the two types of chemicals that present the greatest fire hazard in a cleanroom. Flammable Liquids. Cleanrooms will use many flammable and combustible liquids. A flammable liquid is defined in NFPA 30 as “a liquid having a flash point below 100oF (37.8oC) and having a vapor pressure not exceeding 40 PSIA (2068 mm HG) at 100oF.” Combustible liquids are defined as liquids with a flash point above 100oF (37.8oC). They share many of the same hazards and could really be treated alike. A headed combustible liquid is equally as dangerous as a flammable liquid at room temperature. Storage. The minimum cutoff for hazardous chemicals should be one hour. Where combustible and flammable liquids are stored in bulk, they should be cutoff by a wall of 3-4 hour construction. The wall can be tied into the steel for the fabrication building, but not the liquids room. The doors should not communicate directly into the cleanroom shell building. This allows a light seal between the room and fabrication building and also would slow propagation of a fire from one area to another. Included in the room should be Extra Hazard or Foam Water Sprinklers; low level ventilation; drainage to a safe location; explosion-proof electrics and a suitable storage configuration. Flammable and combustible liquids in plastic containers have become widely used. Unfortunately, they cannot be protected. Recent tests were conducted using Foam Water Sprinklers. When a spill fire was simulated, the foam water systems were unable to control the fire. Where flammable and combustible hazards in plastic are used, they must be stored in a building remote from the fabrication building. It should be designed so that total loss of that building will not effect the fab.
424 Semiconductor Safety Handbook Delivery. A room of similar construction to the storage room should be set up for distribution of flammable and combustible liquids. Dispensing should not be directly from the storage area. Flammable and combustible liquids should be transferred in an approved manner preferably in a closed system. They should not be transferred by pressurizing the vessel or gravity. Materials should be ferrous except where there is a compatibility problem. “Coke” transfer systems should be discouraged. Where needed, they should be 10 gallons or less with transfer by an inert gas. These units should have automatic depressurization to a safe location and metallic hose. They should only be used where proper ventilation and electrics are provided. Bulk delivery systems are the typical piped systems. The materials used in the transfer system should be compatible with the materials being transferred. Pressure should not exceed 15 psi. The systems should be equipped with an excess flow valve, secondary containment where spills may occur, manual shutdown at point of dispensing. Redundant fill level monitors and preset meters should also be provided on automated delivery systems. Safety Cans. Where practical, safety cans should be used for the dispensing of all flammable and combustible liquids handled in containers. Safety cans should be filled in dispensing rooms and transported to point of use. Safety cans are available in plastic stainless steel and even glass lined stainless steel where high levels of cleanliness are required. Chemical Carts. The chemical carts used for transferring chemicals in ordinary containers or safety cans should be constructed of materials compatible with the material being transported. They should be compartmented to contain a spill. Even compartmentalizing is not adequate dissimilar materials should not be transported on the same cart. Waste Disposal. The cleanliness of the hazardous chemical may end at the tool but its hazards potential doesn’t. Waste disposal requires the same consideration as chemical supply. Accidental mining or a fire can have just as devastating affect on downtime and contamination in a cleanroom. Oxidizers. Oxidizers are not often given as much consideration as flammable and combustible liquids. Unfortunately, while they do not burn themselves, they can increase the burning hazard in ordinary combustibles. In many cases, ordinary combustibles can burn with the devastating fire potential of flammable liquids when influenced by oxidizers. More guidance is given in NFPA 43A, “Standard for the Storage of Liquid and Solid Oxidizers.”
Fire Protection Technology 425
9.0
EQUIPMENT
9.1
Scope
Equipment is varied in cleanrooms. It is impractical to try to highlight even the most common. Each piece of equipment needs to be specifically reviewed for generic hazards. This would involve the materials of construction being handled, electrical hazard and interconnections.
9.2
Electrics
The equipment should meet the criteria outlined in the materials electric code NFPA 70. Faulty electrics would be an unwanted ignition source. There have been occasions where operators were so against shutting down processes that they would modify the circuit breakers to a point where they would not deactivate the equipment even under the most hazardous conditions. De-energizing process equipment could fully destroy a multimillion dollar machine. Many of these machines could take years to replace. If all product needs to pass through this equipment, operations could cease until this equipment can finally be replaced. When reviewing equipment or machinery, it is necessary to consider its sequence of operation. When de-energized, the equipment should be in a safe condition. Before installed, a determination needs to be made on whether emergency power for operations or ventilations are necessary to prevent the hazardous condition.
9.3
Materials of Construction
The chemicals used in cleanrooms might require special materials be used in construction. Whenever possible, noncombustible materials should be used. Only materials that come in direct contact with corrosive chemicals should be made of plastic. In the past, we have seen work stations handling flammable liquids constructed of polypropylene with stainless steel liners. The flammable liquids do not adversely affect the stainless steel, so they should be built entirely of this material. The Factory Mutual protocol for
426 Semiconductor Safety Handbook less hazardous plastics is being introduced to SEMI S-2 and NFPA documents.
9.4
Heated Baths
Many work stations in semiconductor fabrication facilities need heated baths. The hot plates, immersion heaters and ceramic liners have all proven to be primary ignition sources of fires in wet stations. These are the wet stations of combustible construction or handling combustible or flammable liquids. There are many reasons for failure of the heaters. They are used in areas of hostile atmosphere. Even with safety devices such as high temperature shut-off, low liquid level, over current, power interruption manual reset, temperature controllers and ground fault interrupters, they have caused large losses. One failure is the liquid leaking into the shell of the heater. This will act as a conductor and allow continued operation of the heater even if the fusible element has opened. When oxidizers are used such as Caro’s acid, plastic used in benches appears to ignite at significantly lower temperatures. Hot plates with rheostat have been used where dials appearing to be in the off position are actually at the maximum temperature. When left unattended, they have overheated and caused fires. Where possible, external heating media should be used. Water and steam and remote IR are preferred. If not possible, a low energy heater with output of 10 W/in. or less should be used. When electric immersion heaters must be used, they should be equipped with low liquid level over current protection, ground fault interrupter, power interrupter, manual reset, dual liquid level and over-temperature protection. These safety devices need to be tested on a regular basis even if that testing only proves it worked at the time it was tested. If you can’t find the problem while testing, it could be the source of a loss. Sheltered Combustible Areas. Many tools will have sheltered areas of combustible construction handling flammable and combustible liquids. These areas should be protected with automatic sprinklers or a fixed extinguishing system. Fixed extinguishing systems are seeing increased service. Halon systems previously used for protection of wet benches are no longer available. These systems could protect either the face or the sump of the wet bench. Another common use of Halon was below the raised floor in older fabs. The Halon systems are replaced with FM-200 watermist and CO2
Fire Protection Technology 427 systems. All systems must be designed to cover all areas of the bench and be provided with an electrical disconnect of the bench on activation of detectors.
10.0 HUMAN ENGINEERING 10.1 Scope Preplanning for emergencies is critical for the high tech industry. The concept of HPR insurance has always required concerned management as the key element. For the years, the physical properties of the HPR philosophy was stressed. Unfortunately, without concerned management, these physical properties were never provided. In reality, it is the concerned management that drives the physical properties of the risk. Industrial Risk Insurers developed a sample management program called OVERVIEW. It has fourteen points that outline the building blocks of human engineering. As good as it is, this book is not a program that you can put on your shelf, say you follow it and consider the job done. Every company has its own needs and the program must be customized to that company. The OVERVIEW program and sample forms package merely gives you the starting points of a good management programs for loss prevention. The semiconductor manufacturing operation is a very unique operation. There are many hazards that are unique to individual locations. A extremely good program of materials and process hazard recognition are necessary to assure safe operations. To tie all the building blocks of a loss prevention program together as an effective system of auditing these programs is necessary. A self-inspection program with input from knowledgeable parties such as HPR insurance carriers and fire department personnel would help maintain a effective loss prevention program.
BIBLIOGRAPHY 1. The NFPA Codes- and Standards-Making System, National Fire Protection Association, Quincy, MA (1997) 2. NFPA 13, “Standard for the Installation of Automatic Sprinklers-1996,” National Fire Protection Association, Quincy, MA 3. NFPA 318, “Standard for the Protection of Clean Rooms-1995”
428 Semiconductor Safety Handbook 4. NFPA 550, “Fire Safety Concepts Tree,” National Fire Protection Association, Quincy, MA 5. NFPA 72, “National Fire Alarm Code,” National Fire Protection Association, Quincy, MA 6. NFPA 92-A, “Smoke Control Systems-1988,” National Fire Protection Association, Quincy, MA 7. NFPA 92-B, “Smoke Management Systems in Malls, Atria and Large Areas-1995,” National Fire Protection Association, Quincy, MA 8. UL 586, “Standard for Underwriters, Inc. for High Efficiency Particulate Air Filter Units,” (AKNT), Underwriter Laboratories Inc., Northbrook, IL 9. UL 900, “Test Performance of Air Filter Units,” Underwriter Laboratories Inc., Northbrook, IL 10. UL 723, “Test Method for Surface Burning Characteristics of Building Materials of Underwriter Laboratories Inc.,” Underwriter Laboratories Inc., Northbrook, IL 11. “Factory Mutual Approval Guide-1996,” Factory Mutual Research Corporation, Factory Mutual System, Norwood, MA 12. NFPA 220, “Types of Building Construction-1995,” National Fire Protection Association, Quincy, MA 13. NFPA 30, “Flammable and Combustible Liquids Code-1996,” National Fire Protection Association, Quincy, MA
Building and Fire Codes 429
9 Building and Fire Codes Impacting the Semiconductor Industry William R. Acorn
1.0
UNDERSTANDING THE NEED FOR CODE COMPLIANCE
Industries which utilize cleanrooms are seldom static; they are either expanding, rearranging or changing manufacturing processes. Those facilities which utilize hazardous liquids and gases represent a significant potential liability to the owner, operator and general public. All personnel involved in these facilities need to be aware of, and updated on, the regulatory issues with which they must live. The applications and interaction of various codes relating to hazardous occupancies are complex, to say the least. Proper interpretation of government codes, company directives and other standards goes beyond a general understanding of the words used and requires an understanding of the context in which the standards are intended. Beyond legal requirements, an owner’s own corporate safety policies and insurance carrier requirements may dictate additional risk mitigations. These guidelines go beyond minimum standards in design requirements to
429
430 Semiconductor Safety Handbook ensure good engineering practices that address the many safety, health and other issues that pertain to any hazardous occupancy facility: exit corridors, life safety alarm systems, fire suppression, chemical delivery routes, chemical storage, etc. This code compliance text addresses these issues and provides readers with a better understanding of requirements. Also provided are the tools that enable them to more easily and quickly find answers to daily questions in code compliance. Whether or not the local authorities have exerted pressure on an owner, it is necessary to continually evaluate the life safety of each facility and act accordingly. It is obvious that a catastrophic (or lesser) incident is to be avoided at all costs. Facilities which were previously considered safe or up to standards, may no longer be so considered.
2.0 OVERVIEW OF APPLICABLE CODES Today, the basic “model” codes which affect hazardous industrial facilities are numerous. Depending on your location within the United States, the following regulations generally apply: • Uniform Building Code (UBC) - Western U.S. • Uniform Mechanical Code (UMC) - Western U.S. • Uniform Plumbing Code (UPC) - Western U.S. • Uniform Fire Code (UFC) - Western U.S. • National Fire Protection Association (NFPA) • National Electrical Code (NEC) (NFPA 70) • Life Safety Code (NFPA 101) • Protection of Cleanrooms (NFPA 318) • Underwriters Laboratories (UL) • Federal Ordinances (such as OSHA and EPA regulations) • Building Officials and Code Administrators International National Building Code (BOCA) Midwest and Eastern U.S.
Building and Fire Codes 431 • BOCA’s National Fire Prevention Code (NFPC) Midwest and Eastern U.S. • Southern Building Code Congress International Standard Building Code (SBCC) - Southeastern U.S. In addition, the following must be considered: • Insurance Underwriter Requirements • Americans With Disabilities Act (ADA) • Corporate Safety Directives • Municipal Codes • Local Pollution Abatement and Environmental Ordinances
3.0 OVERVIEW OF UNIFORM BUILDING AND FIRE CODES Without diminishing the need to consider all requirements of the code, the primary chapters in the Uniform Building Code (UBC) which are of importance in the design and construction of a hazardous occupancy include: • Chapter 3:
Use or Occupancy
• Chapter 4:
Special Use and Occupancy
• Chapter 5:
General Building Limitations
• Chapter 6:
Types of Construction
• Chapter 7:
Fire-resistant Materials and Construction
• Chapter 10: Means of Egress The articles of greatest interest to our discussion of hazardous occupancies in the Uniform Fire Code (UFC) are: • Article 51: Semiconductor Fabrication Facilities • Article 79: Flammable and Combustible Liquids • Article 80: Hazardous Materials
432 Semiconductor Safety Handbook 4.0 OCCUPANCY CLASSIFICATIONS The first decision which must be made during the design process concerns the correct occupancy classification(s) for the proposed facility. The UBC and UFC are used to help the owner and designer define the category of occupancy to be used as a basis for the design, construction and operation of a facility. A Assembly I Institutional B Business E Educational F Factory and Industrial
M Mercantile R Residential S Storage
H Hazardous
U
Utility
When the facility uses or stores hazardous chemicals or gases in sufficient quantity, it must be considered a Hazardous, Group H occupancy. A summary of the quantity, location and type of hazardous materials located within a given “control area” is required in order to make the correct occupancy classifications. If a review of the hazardous production material (HPM) inventory indicates quantities above the “exempt” amounts set in UBC Tables 3-D or 3-E (pgs. 1-62 to 1-64) for a control area, an “H” occupancy classification is mandated. Refer to Tables 1 and 2 which have been taken from the 1994 UBC. A Word on Footnotes. Always carefully read the footnotes associated with any table in the codes, as important modifications or exceptions to the basic regulation are often provided. In the case of UBC Tables 3-D and 3-E, the footnotes (among other things) define a “control area” and allow additional quantities of materials when they are stored in approved cabinets, or the facility is sprinklered.
4.1 Control Area Areas within a facility which use or store less than the “exempt” amounts of HPMs may be considered “control areas” and must be constructed with not less than one-hour partitions. The “control area” is a space within an occupancy which does not have to be considered a specific hazardous storage occupancy such as H-1, H-2, H-3 or H-7. There may be up to four individual control areas within a building; however, the aggregate quantity of HPMs in a fab area (including control rooms) may not exceed the maximum amounts in UFC Table 5103-A (in 1994 UBC, pg. 1-160).
3-Dec-1999
Table 1. UBC exempt amounts of hazardous material presenting a physical hazard (UBC Table 3-D) showing maximum quantities per control area.1 When two units are given, values within parentheses are in cubic feet (cu ft) or pounds (lbs). (Reproduced from the 1994 edition of the Uniform Building Code, © 1994, with the permission of the publisher, the International Conference of Building Officials).
Building and Fire Codes 433
434 Semiconductor Safety Handbook
Table 1. (Cont’d)
Table 1. (Cont’d)
Building and Fire Codes 435
436 Semiconductor Safety Handbook
Table 2. UBC exempt amounts of hazardous material presenting a health hazard (UBC Table 3-E) showing maximum quantities per control area.1,2 When two units are given, values within parentheses are in pounds (lbs). (Reproduced from the 1994 edition of the Uniform Building Code, © 1994, with the permission of the publisher, the International Conference of Building Officials).
Building and Fire Codes 437 Within each of the occupancy groups are subcategories called Divisions. The types of hazardous materials stored or used within the facility (Physical or Health Hazards as described below) dictate the Division of the H Occupancy which applies. Note also that Article 80 of the UFC may be used to determine the correct division. The following descriptions are paraphrased and abbreviated from the UBC definitions of Group H facilities (refer to Sec. 307.1.1): • Division 1. Storage, handling and use of hazardous highlyflammable explosive material, in excess of the exempt amounts listed in Table 3-D • Division 2. Storage, handling and use of flammable or combustible liquids, pyrophoric gases and oxidizers in excess of the exempt amounts listed in Table 3-D • Division 3. Storage or use (low pressure) of flammable and combustible liquids, oxidizers or water reactives in excess of the exempt amounts in Table 3-D • Division 4. Repair garages • Division 5. Aircraft repair hangars • Division 6. Semiconductor fabrication facilities and comparable research and development areas where hazardous production materials (HPMs) are used, and the aggregate amount exceeds that listed in Tables 3-D or 3-E • Division 7. Storage or use of health hazard materials, such as corrosives, toxic or highly toxic irritants or sensitizers in excess of the quantities listed in Table 3-E Mixed occupancies often exist in a given facility or building. In a building where the area of a different occupancy is less than 10% of the area of the primary occupancy, a mixed occupancy is not considered to occur; this is considered a “minor accessory use” condition. When a different occupancy exceeds 10% of the area of the primary occupancy, UBC considers the building a mixed occupancy and requires the summation of the ratio of actual area to allowable area for each occupancy to add up to one or less. When more than one occupancy occurs within a building, fire-resistive occupancy separations must be constructed between them as described in UBC Table 3-B and Sections 302.2, 302.3, and 302.4. Note that an H-1 occupancy may not be mixed with any other occupancy. See Fig. 1.
438 Semiconductor Safety Handbook
Figure 1. Building Occupancy Diagram illustrating common mixture of occupancies and separation of “buildings.”
Building and Fire Codes 439 5.0 CLASSIFICATION OF HAZARDOUS MATERIALS The UBC and UFC divide hazardous materials into two hazard categories (Physical and Health) which are directly correlated to Tables 3-D and 3-E of the UBC. Article 80 of the UFC provides general requirements for all types of hazardous materials regardless of the occupancy or use of a facility. Article 80 subdivides hazardous materials classifications into two broad categories: 5.1
Physical Hazards • Explosive materials, regulated under Article 77 • Compressed gases, regulated under Article 80 and Article 74 • Flammable and combustible liquids, regulated under Article 79 • Flammable solids • Organic peroxides • Oxidizers • Pyrophoric materials • Unstable (reactive) materials • Water-reactive materials • Cryogenic fluids, regulated under Article 80 and Article 75
5.2 Health Hazards • Highly toxic or toxic materials, including highly toxic or toxic compressed gases • Radioactive materials • Corrosives • Carcinogens, irritants, sensitizers, and other health hazards Materials with a primary classification as a physical hazard may also present a health hazard, and materials with a primary classification as a health hazard may also present a physical hazard. All of the hazards must be addressed by the facility design (see UFC Section 8001.1.3).
440 Semiconductor Safety Handbook Hazardous Production Material (HPM) is a solid, liquid or gas that has a degree-of-hazard rating in health, flammability or reactivity of Class 3 or 4 as ranked by UFC Standard No. 79-3, and which is used directly in research, laboratory or production processes which have as their endproduct materials which are not hazardous. For guidance on the classification of chemicals by hazard category, refer to Appendix VI-A of the UFC. For additional assistance, the supplier of each material should be consulted. Manufacturers are required to publish “Material Safety Data Sheets” (MSDS) which may assist in determining the hazardous material classification.
6.0
ALLOWABLE AREA AND SEPARATIONS
Cleanroom facilities were often originally constructed as B-2 occupancy (Group B, Division 2, which included office buildings; printing plants; factories and workshops using materials not highly flammable or combustible, etc.). As such, these facilities were allowed to have unlimited area when sprinklered and surrounded by side yards. When such facilities are converted to Group “H” occupancy, severe restrictions on the allowable area may be imposed by the UBC. The maximum allowable area must be evaluated based upon the correct occupancy and construction type in conjunction with UBC Table 5-B and UBC Sections 504 and 505. The areas specified in Table No. 5-B and UBC Section 504.2 may be tripled in one-story buildings and doubled in buildings of more than one story if the building is provided with an approved automatic sprinkler system throughout. The basic allowable area may be doubled for multiple story buildings and may be increased for side yards (accessible to the fire department) where they exceed 20 feet. Many cleanrooms and wafer fabrication (“fab”) occupancies have basements used for the support of their operations. If the lowest level is not considered a first-story (see definitions in UBC Section 203 and 220), then it does not enter into the calculation of allowable area, regardless of its occupancy. The limitations in the UBC and UFC on allowable quantities of HPMs in a given occupancy must be evaluated in concert with the area test. Specifically for a semiconductor facility, UFC Table 5103-A defines the maximum quantity of HPMs allowed per fab area, stipulating density limits in lb./SF, gal./SF or CF/SF. In the event an existing fabrication area exceeds these quantities, it must be divided into multiple fabs, or the inventory must be reduced.
Building and Fire Codes 441 Division of an existing structure into separate buildings (if required to comply with the maximum allowable area limitations) may be accomplished by the construction of area separation walls (UBC Section 504.6). (See Fig. 2.)
Figure 2. Division of a building into three buildings with the construction of area separation walls as required by UBC 504.6. Also note fire resistive occupancy separation walls.
7.0 LOCATION The location of various occupancies—with respect to the story and height within a building, the proximity to a property line, etc.—is generally
442 Semiconductor Safety Handbook governed by UBC Chapter 5. UBC Table 5-A stipulates the fire ratings of exterior walls and openings in walls. UBC Table 5-B stipulates the maximum height of a building containing each type of occupancy. UBC Table 3-G stipulates the quantities of explosives, oxidizers, reactives, unstable materials and pyrophoric gases, which, when exceeded, must be stored in a detached building. UFC 5103.2 states that occupied levels of fabrication areas (H-6) shall be located at, or above, the first story.
8.0
EXITING
The primary defense in the event of an “incident” in a hazardous occupancy is to leave the building. Accordingly, the codes provide stringent requirements for exits and exitways. (See UBC 1001.2 for Definitions). Some of the requirements are outlined below and are further illustrated in Fig. 3. Every portion of a Group H occupancy having a floor area of 200 square feet or more shall be served by at least two separate exits. Within Group H, Division 7 and within fabrication areas of Group H, Division 6 occupancies, the distance of travel to an exterior exit door, exit corridor, horizontal exit, exit passageway or an enclosed stairway shall not exceed 100 feet (UBC 1018). Required exit doors must be no closer to each other than a distance of one-half the diagonal dimensions of the room. Rooms may have one exit through an adjoining or intervening room, except that rooms with a cumulative occupant load of 10 or less may exit through more than one intervening room. (See UBC 1008.5). The maximum allowable total travel distance from any location in a fab (H-6 or H-7) to the outside of a building, an enclosed stairway, a horizontal exit or an exit passageway may not exceed 200 feet, and then only when the last 100 feet are within an exit corridor in compliance with UBC 1005, and the building is sprinklered (UBC 1003.4). Exit corridors shall be arranged to allow travel from any point in two directions to an exit, except for dead-ends not exceeding 20 feet in length. Horizontal exits shall be as specified in UBC 1008. A horizontal exit is an exit from one building into another building or through or around a wall constructed as required for a two-hour area separation.
~FRCWANTFWlNl# , COF3?IDOR
..
uJlTCllN I00 FTa=
---.
FAB I i
EXIT FRcpl 2ND STORY
E AFEAS,
FIWRE
23
EXIT COW?IDOf?
~//‘-jUWCOFWlDOU
Figure 3. Esiting and exitnays are illustrated from each of the hazardous occupancies. Note requirements for two paths of egressand travel distance limitations.
444 Semiconductor Safety Handbook 9.0
EXIT CORRIDORS
Exit corridors are designed to provide for safe egress of people and are governed by UBC 307.11.2, 307.11.3, UBC 1005 and UFC 5106. Exit corridors shall comply with UBC Section 1005 and shall be separated from fabrication areas. Exit corridors shall not be used for transporting hazardous production materials except as provided in UBC Section 307.11.6.2 and UFC 5106.2 for existing buildings. There are many special requirements for exit corridors in an H occupancy (See Fig. 3): • Air handling for the exit corridor must be separated from the fab air handling system • Exit doors must swing with egress • Door assemblies shall be tight-fitting smoke and have draft stops • Doors shall be self-closing or automatically-closing and shall be 3/4-hour rated • Duct penetrations shall be protected by fire and smoke dampers • Egress through the corridor shall be available in two directions
10.0 SERVICE CORRIDORS Service corridors are intended for the transportation of HPMs within an H-6 occupancy and are governed by UBC 220,307.11.4 and UFC 5107. Service corridors shall be classified as Group H, Division 6 occupancies. Service corridors shall be separated from exit corridors as required by UBC Section 307.11.2.1. The maximum distance of travel from any point in a service corridor to an exterior exit door, horizontal exit, exit passageway, enclosed stairway or door into a fabrication area shall not exceed 75 feet. There are many technical requirements related to HPM service corridors: • Used for the transportation of HPMs in an “H” occupancy • May not be used as required exit corridors, except in an existing building (when approved) • May not be crossed by required exit paths
Building and Fire Codes 445 • Must be separated from exit corridors per UBC 307.11.2.1 (one hour) • Ventilation (exhaust) shall be one CFM/SF or six air changes/ hour (minimum) • Must have at least two (2) exits • All containers used to transport HPMs shall comply with UFC 5106.2.2 • A local manual alarm station (spill alarm per 51.108(b)8) shall be provided • HPMs shall not be dispensed in the corridor • Pass-throughs shall be allowed only in existing building exit corridors (UFC 5106.2.7) Once inside the fab, HPMs may be distributed by the user at will, subject to the limitations regarding type of containers and carts outlined in the UFC.
11.0 HAZARDOUS MATERIAL STORAGE AND DISPENSE ROOMS Hazardous material storage rooms are classified as H-1, H-2, H-3 or H-7 when the quantities of hazardous materials contained within exceed the exempt amounts listed in UBC Tables 3-D or 3-E or UFC Tables 8001.13A or 8001.13-B. While the construction and operation requirements for these storage and dispensing rooms are very complex as provided in UBC Chapter 3 and UFC Articles 51, 79 and 80, some general requirements are presented here.
11.1 Separation of HPMs An HPM storage room needs to be separated with fire-resistive construction from the rest of the building and other occupancies. Depending on the HPM and the quantity stored or dispensed, separation distances may also be required. In addition, areas within the given storage or dispense room used for differing classifications of HPMs—toxics, acids, flammables, oxidizers, etc.—must also be separated, either by fire-resistive construction or (in some cases) approved hazardous material storage cabinets. Examples of separation requirements are included in UFC 8001.9.8.
446 Semiconductor Safety Handbook 11.2 Flammable and Combustible Liquids Requirements for storage/dispensing of flammable or combustible liquids are addressed in Article 79 of the UFC.
11.3 Toxic Gas Monitoring A continuous gas detection system must be provided to detect the presence of hazardous (toxic or highly toxic) gases at or below the permissible exposure limit (PEL) or the ceiling limit (see UFC 8003.3.1.6). The detection system must initiate a local audible and visual alarm as well as transmit a signal to a constantly attended plant Emergency Control Station (ECS). Activation of the alarm/monitoring system must automatically close the shutoff valve on highly toxic or toxic gas supply lines.
11.4 Smoke Detection Supervised smoke detection must be provided in rooms or areas where highly toxic compressed gases are stored indoors (see UFC 8003.3.1.7). A dedicated telephone system which allows personnel to inform the ECS of the exact nature and severity of an emergency condition is recommended.
11.5 Pyrophoric Materials Requirements for pyrophoric materials storage are covered or referenced in UFC Section 8003.8. Included are requirements for signage, ignition control, spill control, drainage, secondary containment, ventilation, separation from incompatibles, fire protection, explosion control, electrical reliability requirements, and alarm/monitoring requirements.
12.0 MECHANICAL HEATING, VENTILATING AND AIR CONDITIONING SYSTEMS 12.1 General Cleanrooms utilize several types of air handling systems to create the desired controlled environment: • Recirculating air • Exhaust ventilation • Makeup air
Building and Fire Codes 447 Each of these systems must be properly coordinated with the other to ensure a safe occupancy is provided for people and the physical assets. The UBC and UFC stipulate requirements for ventilation rates, separation of air streams, and the relationships between each system. The Uniform Mechanical Code (UMC) provides additional technical requirements. When the operations in the cleanroom utilize hazardous materials, the HVAC systems are a vital element in the code-mandated life safety package.
12.2 Recirculating Air Handling Systems The recirculating air streams in the cleanroom move significant rates of air through high efficiency filters (HEPA or ULPA) in a pattern designed to cause any particles generated in the space to take the shortest path out of the space. The high flow rates of cool air create special challenges for the fire suppression and smoke detection systems, as will be discussed later. The recirculating air stream is considered an integral portion of the life safety system; therefore, in a hazardous occupancy cleanroom (such as a semiconductor wafer fab), the system should not be automatically shut down by the smoke detection system. In lieu of automatic shutdown, a manually operated remote switch is to be provided at an approved location outside the fabrication area (UBC 1202.2.5). Since such a detection could happen at any time, our recommendation for the location of the switch is in a continually occupied but relatively secure spot, such as the nearest Emergency Control Station (ECS). UBC 1202.2.5 also dictates that the return air system of one hazardous cleanroom area shall not be connected to another cleanroom occupancy.
12.3 Exhaust Ventilation Rooms, areas or spaces in which explosive, corrosive, combustible, flammable or highly toxic dusts, mists, fumes, vapors or gases may be emitted shall be mechanically ventilated as required by the Fire Code and the Mechanical Code. Exhaust ventilation shall be provided to produce not less than one (1) cubic foot per minute, per square foot floor area, and shall be in accordance with UFC Articles 79 and 80. The design of the system shall be such that the emissions are confined to the area in which they are generated and shall be exhausted by a duct system to a safe location or treated by removing contaminants. Separate and distinct systems shall be provided for incompatible materials (UMC 505.1).
448 Semiconductor Safety Handbook A “fabrication area” is an area within a Group H-6 occupancy in which there are processes involving HPMs and may include ancillary rooms such as dressing rooms, offices, etc. We believe it is a good practice to provide general, or process, exhaust in all areas classified as H-6, at a rate of not less than one (1) CFM/SF, or six (6) air changes per hour, due to the potential for migration of hazardous fumes. The exhaust air duct system of one fabrication area shall not connect to another duct system outside that fabrication area within the building. The location and means of discharging air to the atmosphere must be carefully considered. Care must be taken to avoid reintroduction of exhaust into outside air intakes. High velocity (greater than 3,500 FPM) vertical discharge is generally required to effect dilution of the potentially hazardous airstream with the ambient air.
12.4 HPM Storage Area Ventilation The ventilation rates for H-1, H-2, H-3 and H-7 storage areas are as follows: • Mechanical exhaust ventilation shall be provided in storage rooms at the rate of not less than one (1) cubic foot per minute per square foot of floor area or six (6) air changes per hour. • Unless exempted or otherwise provided for in UFC Sections 8003.2 through 8003.15, indoor storage areas and storage buildings shall be provided with mechanical exhaust ventilation. Exhaust ventilation shall be arranged to consider the density of the potential fumes or vapors released. For fumes or vapors that are heavier than air, exhaust shall be taken from a point within 12 inches of the floor (UFC 8003.1.8.2[1–7]). Because HPM storage rooms may contain both HPMs that potentially generate heavier-than-air fumes, and HPMs that potentially generate lighter-than-air fumes, the recommended practice is to allow for both. • Storage of hazardous gas cylinders shall be within ventilated gas cabinets, exhausted enclosures or within a ventilated separate gas storage room. When gas cabinets are provided, they shall be operated at negative pressure in relation to the surrounding area, and provided with self-closing limited access ports. The average velocity of ventilation shall be not less
Building and Fire Codes 449 than 200 feet per minute (UFC 8003.3.1.3). Exhaust flow rates based on this velocity will generally substantially exceed the 1 CFM/SF rate above.
12.5 Makeup Air Makeup air shall be provided to replenish air exhausted by the ventilation system. Makeup air intakes shall be located so as to avoid recirculation of contaminated air within enclosures (UMC 505.6). As a practical matter, the amount of makeup air required to replace the exhaust air and pressurize the cleanroom space may be significantly higher than the exhaust flow (typically 10% to 25% more than the exhaust flow rate, depending on the integrity of the construction).
12.6 Emergency Ventilation and Operation Emergency power is required to operate the exhaust ventilation and treatment systems in H-6 occupancies and H-2, H-3 or H-7 facilities where toxic or highly toxic gas is used. Emergency power must be on-line within ten seconds of failure of the primary power source. We believe that cleanroom pressurization should be maintained to control cleanliness. Therefore, it is recommended that each facility consider providing emergency power for outside makeup air handlers with capacity in excess of exhaust air quantities. Failure to do so could result in a cleanroom “going negative” with subsequent inadvertent contamination. It is prudent, if not mandatory, to provide emergency power, or preferably an uninterruptible power supply (UPS) for all controls which operate the life safety-related equipment and ventilation systems.
12.7 Air Handling System Isolation Separate duct/fan systems shall be provided for incompatible vapors and air streams: • Corrosive or general scrubbed exhaust • Solvent/hydrocarbon exhaust • Toxic exhaust, treated • General or “heat” exhaust
450 Semiconductor Safety Handbook UMC 505.1 requires that ducts which convey explosives or flammable vapors, fumes or dusts (toxics are included in this group) shall extend directly to the exterior of the building, without entering other spaces. We believe it is unacceptable to connect gas cabinet exhaust to a workstation or any other exhaust ventilation system. Wafer fabrication (H-6) air handling systems must be separated from one another. The return-air system of one fab area shall not connect to another system within the building (UFC 5103.4.2). It is acceptable to provide supply air from a fab makeup air handling system to another area if the makeup air system utilizes a 100 percent outside air unit. Similarly, the exhaust air duct system of one fabrication area shall not connect to another duct system outside that fabrication area within the building (UBC 1202.2.5). It is acceptable to connect the exhaust ducts for two separate fab areas together (or for a fab area and a non-fab area together) at a point outside the building. The exit corridors may not be used as a return air space for another area. Duct penetrations of fire-rated corridor walls must be provided with combination fire/smoke dampers (UBC 713.10 and 713.11). UBC 307.11.2.3 requires draft-stopping of penetrations between floors within an H-6 fabrication area. This provision recognizes the configuration of a “multiple-level” fab which may be up to three stories high and have air handling on several levels without the need for fire dampers at each level. Smoke dampers, or combination smoke/fire dampers, must be provided at all penetrations of ducts through a smoke partition. Fire dampers shall not be installed in exhaust ducts. Exhaust ducts shall not penetrate area separation walls (UFC 5104.3.2.3). Compliance with these provisions will limit the propagation of smoke or hazardous chemicals/gases from affected fabrication areas to exit corridors or adjacent spaces and enhance life safety.
13.0 FIRE SUPPRESSION Fire sprinklers are the major component of the building fire suppression system. All Group H, Division 1, 2, 3, 6 and 7 occupancies are required to be sprinklered (see UFC 1003.2.5.1, 1003.2.5.3, 7903.2.3.2.3, 8003.1.11, and 8004.1.12, and UBC 904.2.5).
Building and Fire Codes 451 13.1 H-6 Occupancies Chapter 9 of the UBC requires that fire extinguishing systems (where required) are to be provided per UBC Standard 9-1. UBC Standard 9-1, in turn, adopts NFPA 13, “Installation of Sprinkler Systems,” with several important exceptions. Generally, the modifications are designed to smooth the integration of NFPA 13 with the UFC and UBC. In doing so, the references to other NFPA Standards are amended or deleted. Many “authorities having jurisdiction,” when adopting the UBC, modify the requirement for sprinkler system compliance with UBC Standard 9-1 to include compliance with other applicable NFPA codes. The codes most frequently cited are: • NFPA 14—Standpipe and Hose Systems • NFPA 24—Private Fire Service Mains and their Appurtenances • NFPA 318—Protection of Cleanrooms
13.2 Cleanrooms NFPA 318 covers many specialized installations found within cleanroom facilities. Automatic sprinklers for cleanrooms or clean zones shall be in accordance with NFPA 13 and shall be hydraulically designed for a density of 0.20 GPM/square feet over a design area of 3000 square feet. Note that this density exceeds the Ordinary Hazard Group 2 occupancy design density required by UFC 1003.2.5.3 (per NFPA 13, Fig. 5-23) of 0.17 GPM/square feet over 3000 square feet (NFPA 318 2-1.2.1). Approved quick response sprinklers shall be utilized for sprinkler installations within downflow airstreams in cleanrooms and clean zones (NFPA 318 2-1.2.2). The use of quick-response sprinklers is intended to overcome some of the tendency for the sprinkler element to be cooled by the downward airflow, thus, causing the sprinkler system to respond to a smaller size fire more quickly than a system utilizing conventional sprinklers. Automatic sprinkler protection shall be designed and installed in the plenum and interstitial space above cleanrooms in accordance with NFPA 13, (NFPA 318 2-1.2.5). NFPA 13 does have some exceptions for concealed spaces, however.
452 Semiconductor Safety Handbook In addition to the requirement for code and standard compliance, many cleanroom occupancies are insured through carriers, such as Factory Mutual (FM) or Industrial Risk Insurers (IRI)) who have additional design and construction requirements, in order to reduce the risk of fire and other liabilities. With respect to semiconductor facilities, FM Publication No. 156, “Cleanrooms,” No. 7-7, “Semiconductor Fabrication Facilities,” and IRI Publication IM.17.1.1, “Guiding Principles for the Protection of Semiconductor Manufacturing Facilities,” provide excellent guidelines and should be used as design and operations references. Other IRI or FM guidelines may also apply. Workstations. All workstations must be installed with fire sprinkler protection. Workstations constructed of combustible materials containing HPMs must contain a sprinkler head within four feet of the exhaust duct connection. Sprinkler coverage of the horizontal surface at any workstation shall not be obstructed. NFPA 318, in addition to requiring automatic sprinkler protection of the horizontal surface, requires sprinkler protection in the exhaust transition piece of combustible workstations (Paragraph 2-1.2.8.1). A sprinkler shall be installed within the exhaust duct connection of workstations of combustible construction.
13.3 Storage Occupancies Hazardous material storage rooms classified as H-1, H-2, H-3 and H-7 require complete sprinkler coverage in accordance with UFC 1003.2.5, UFC 7903.2.3.2.3, UFC 8003.1.11, UFC 8004.1.12, and UBC Section 904.2.5. Rooms used for storage of HPMs (which must be sprinklered) must also have provisions for drainage, containment, and secondary containment of the spills and fire protection water equal to a full discharge of the sprinklers for a period of 20 minutes (UFC 8003.1.7.3 and 4 and NFPA 318 5-1.1.2.). This amount may be quite significant. Gas cabinets or exhausted enclosures for the storage of toxic or highly toxic gas cylinders shall be internally sprinklered. Alternate fire-extinguishing systems shall not be used for either storage areas, gas cabinets or exhausted enclosures. (UFC 8003.3.1.2). When Class 3 (water reactive) materials are stored in areas protected by an automatic fire-sprinkler system, the materials shall be stored in closed watertight containers. (UFC 8003.10.1.6).
Building and Fire Codes 453 13.4 Exhaust Ducts Containing Flammable Vapors Exhaust ducts with a cross-sectional diameter of 10 inches or more, which contain flammable vapors, must contain fire protection per the Uniform Mechanical Code Section 609.7 and UFC Article 5104.3.2.4. UMC 609.7 and NFPA 318 2-1.2 cover design criteria for sprinkler systems for such ductwork (see reference below).
13.5 Exhaust Ducts Containing Corrosive Vapors Exhaust ducts with a cross-sectional diameter of 10 inches or more, which contain corrosive vapors, are considered to be “product conveying.” Where such ducts are nonmetallic and have a flame spread rating of over 25, or a smoke developed rating of over 50, they require fire protection per Uniform Mechanical Code 609.1 and UFC Article 5104.3.2.4. UMC 609.7 and NFPA 318 2-1.2 cover design criteria for ductwork sprinkler systems, including density/coverage, zoning, drainage, and inspection/maintenance requirements. Sprinklers installed in duct systems shall be located at 12-foot intervals, and at changes in direction. The sprinklers shall be hydraulically designed to provide 0.5 GPM over an area derived by multiplying the distance between the sprinklers in a horizontal duct by the width of the duct. A separate indicating control valve shall be provided for sprinklers installed in ductwork. Drainage shall be provided to remove all sprinkler water discharged in ductwork. The sprinklers shall be accessible for periodic inspection and maintenance. (UMC 609.7, NFPA 318 2-1.2.6.1, NFPA 318 2-1.2.6.2, and NFPA 318 2-1.2.6.5).
13.6 Gas Cabinets All gas cabinets located within the H-6 fab areas must contain sprinklers. In H-2 or H-7 storage rooms, only cabinets containing pyrophoric materials must be sprinklered (see exception to UFC 5105.2). Sprinklering of all cabinets, regardless of the gas which a cabinet will store at the outset of design is recommended.
454 Semiconductor Safety Handbook 13.7 Alternate Suppression Systems In certain applications, water may not be the most effective means to extinguish fires. In fact, in special cases, water could actually transport fire. Hence, the Uniform Fire Code allows alternate suppression systems. In some cases, the use of an alternative “suppression system” will not preclude the need to install water sprinklers. UPC 8003.3.1.2 does not permit use of alternate systems for HPM storage areas, gas cabinets, or exhausted enclosures.
14.0 ELECTRICAL POWER SYSTEMS There are three classifications of backup systems to the normal electrical power system in hazardous occupancies: 1. Emergency Power Systems. 2. Legally-required Standby Power System. 3. Optional Standby Power System. Emergency power is required for facility systems which are considered essential for life safety. Upon loss of normal power, emergency power loads must be automatically connected to the alternate power source within 10 seconds. Wiring for emergency systems must be kept entirely independent of all other wiring and shall not enter the same raceway. (See UFC 5104, UFC 8003.3 and UBC 307.2.) The emergency generator set requires an on-site fuel supply. All industrial occupancies shall have emergency lighting in accordance with NFPA Section 5-9 (NFPA 101 28-2.9). An emergency power system shall be provided in Group H, Divisions 6 and 7 Occupancies to automatically supply power to the exhaust ventilation system when the normal electrical supply system is interrupted (UBC 307.2.8). Only exhaust is required to be on emergency power; however, the exhaust must be balanced with makeup air and, thus, some supply fans may also require emergency power, particularly in the case of critical cleanrooms. (Refer to section on HVAC systems for further discussion). Generally, the size of an emergency generator is dictated by the starting current requirements of large fan motors for the cleanroom ventila-
Building and Fire Codes 455 tion systems. Once started and running normally, the fans will draw substantially less current than during across-the-line starting. With the 60second start delay acceptable for standby power loads, the emergency generator may be able to safely handle additional loads of standby systems without the need to oversize the unit. Legally required standby power systems are intended to provide electric power for control of health hazards and to aid in fire fighting or rescue operations. Upon loss of normal power, legally required standby systems must be connected to the alternate power source within 60 seconds. Standby power shall be provided in Group H, Divisions 1 and 2 Occupancies and in Group H, Division 3 Occupancies in which Class I, II or III organic peroxides are stored (UBC 307.2.7). Optional standby power systems are for systems whose failure could cause effects such as damage to process equipment, interruption of manufacturing processes, loss of airflow to maintain cleanliness conditions, etc. Although not required by code, process engineers may want selected production equipment on optional standby power. Loads which are not related to life safety, yet are desired to be connected to the emergency power system, must be separated and controlled by their own transfer switches. The nonlife-safety loads must be able to be “shed,” if necessary, due to a generator malfunction.
14.1 Transfer Switches In general, automatic transfer switches are provided for code-required emergency loads, and manual transfer switches are provided to change from a main to a standby fan or pump, or to change from a normal to redundant power source. (See Fig. 4.)
14.2 Emergency Shutoffs Fabrication Areas. A manual control switch must be provided which, when activated, will shut down exhaust ventilation and turn off all lights in the fab (H-6) area except those required to sufficiently illuminate means of egress. The Uniform Fire Code requires that the lighting be shut off if the exhaust is shut off (the rationale is that people will not re-enter a dark facility).
456 Semiconductor Safety Handbook
Figure 4. Power Distribution Schematic illustrating relationship between normal power, redundant power supply and emergency power source.
Building and Fire Codes 457 Another manual control switch is required for shutting down the recirculating fans (which supply air to the HEPA/ULPA filter ceiling of the cleanroom) and shall be installed at an approved location outside the fabrication area. We recommend that one switch be provided for the makeup air and exhaust fans, with a separate switch for the recirculating HEPA fans. HPM Storage Rooms. A manual control station or shut off valve unique to HPM storage rooms is required. All emergency shutoff control stations should be mounted in some type of break-glass enclosure with the locations coordinated with the local fire chief. They could be located at the emergency control station, if approved by the "authority having jurisdiction."
14.3 Hazardous Area Electrical Requirements Workstation Environments (Fabrication Area). Electrical equipment and devices within five feet of workstations in which flammable liquids or gases are used shall be in accordance with the Electrical Code for Class I, Division 2 hazardous locations, except when the air removal from the workstation or dilution will provide nonflammable atmospheres on a continuous basis (UFC 5104.5). The requirements for hazardous locations need not be applied when the average air change is at least four times that set forth in UBC 307.11.2.4 (UBC 307.11.2.6). The volumes of air moving through and exhausted from a cleanroom typically meet the requirements of these exceptions. If the air volume is sufficient, continuous, and on emergency power, the electrical installation within five feet of the workstation will not have to meet the requirements of Class I, Division 2 locations. HPM Storage Facilities. Explosion-proof electrical apparatus is required in rooms where a flammable atmosphere may occur. Electrical wiring and equipment located in HPM storage rooms shall be approved for Class I, Division 1 hazardous locations, and shall be in accordance with the Electrical Code. Exception: When separate storage rooms are used, the storage rooms without flammable liquids or gases need not be approved for Class I, Division 1 hazardous locations (UFC 5108.2.8). In rooms where the flammables are stored in monitored, Z-purged and protected (sprinklered) gas cabinets, Class I, Division 1 requirements may not apply for general electrical apparatus in the storage room. In a properly designed gas cabinet, all flammable gases are contained within the
458 Semiconductor Safety Handbook cabinet where the electrical equipment is rated Class I, Division 2. Gas cabinets whose exterior components meet the electrical requirements of Class I, Division 1 are not available as a standard manufactured item. In addition to Section UFC 8003.1.10.2, electrical wiring and equipment in storage areas for pyrophoric gases and liquids shall comply with the requirements for Class I, Division 2 locations. (UFC 8003.8.1.3). (Note: Revisions proposed for the 1994 UFC delete the requirement for special explosion-proof electrical devices for pyrophoric storage rooms. This recognizes the provisions of NEC 500-2, which state: Where pyrophoric materials are the only materials used or handled, these locations shall not be classified.) If the fab has a solvent exhaust system with a solvent abatement system, the area around the solvent abatement facility must be in compliance with NFPA Article 36.
15.0 LIFE SAFETY ALARM AND MONITORING SYSTEMS Today, numerous alarm and monitoring systems are required by codes. These include fire alarm, smoke detection, sprinkler system supervision, emergency (spill) alarm, and continuous toxic gas monitoring and detection systems.
15.1 Emergency Control Station (ECS) Definition. “Emergency Control Station (ECS) is an approved location on the premises of Group H, Division 6 occupancies where signals from emergency equipment are received” (UBC 206-E). When emergency alarm, detection or automatic fire-extinguishing systems are required in Section 8003, such systems shall be supervised by an approved central, proprietary or remote station service or shall initiate an audible and visual signal at a constantly attended on-site location. (UFC 8003.1.16). When the ECS is not located in a separate building, separation from the remaining portion of the building by a two-hour wall (NFPA 72D-1.3) is required. In addition, the ECS must be constructed to conform with ANSI SE3.2 (UL 827) which includes continuous monitoring by trained and competent personnel, emergency lighting, and HVAC fed from an emergency power source or generator.
Building and Fire Codes 459 15.2 Fire Alarm and Monitoring Systems Fire Alarm, Smoke Detection and Sprinkler Supervision Initiating Devices: The hazardous occupancy must be provided with either a manual or automatic supervised fire detection and alarm system installed and connected to the ECS in accordance with NFPA 72A. (See also UFC 8004.2, UFC 5108, UFC 8003.1 and UFC 8003.3.) Types of required alarm devices are as follows: • Manual pull stations. NFPA Article 72A 5-8.1.2 requires the distribution of manual fire alarm pull stations throughout the protected area. • Area smoke and heat detectors. Hazardous production material storage rooms are required to have a supervised smoke detection system in accordance with the above UFC requirements. We recommend the installation of heat detectors in all electrical rooms. • An air sampling or particle counter-type smoke detection system shall be provided in the cleanroom return air stream to sample for smoke (NFPA 318 2-3.1). Because the cleanroom circulates large quantities of air which tend to dilute smoke and other products of combustion, standard room detectors are not effective. The air sampling smoke detection system draws air from various locations in the cleanroom on a continuous basis. This type of system responds to invisible precombustion gases that signify an incipient fire, which may not be recognized by a standard room smoke detector until the concentrations have reached a dangerous level. Thus, the air sampling system is capable of providing an early warning of an incipient fire condition. • Duct smoke detectors. In all occupancies, duct-type smoke detectors are required in supply air ducts for air handling units over 2,000 CFM (UMC 608) and in the supply and return of units over 15,000 CFM (NFPA 90A). We believe it is unnecessary to provide supply and return detectors in the recirculating air handlers of cleanrooms (one should suffice); however, a variance of this type will require the approval of the Authority Having Jurisdiction.”
460 Semiconductor Safety Handbook Ventilation systems must comply with the Mechanical Code except that the automatic shutoffs need not be installed on air-moving equipment. However, smoke detectors shall be installed in the circulating airstream of the cleanroom and shall initiate a signal at the emergency control station. (UBC 307.11.2.4). • Smoke door holders are required on doors through area separation walls (two-hour rating), building separation walls (four-hour rating) and other walls which are required to be smoke partitions. • Sprinkler flow and tamper switches. Sprinkler flow and tamper switches to monitor the fire sprinkler systems are required by UBC-904.2 and 904.3. Typically, a plant is broken into many fire sprinkler zones. The various fire sprinkler zones are each monitored separately to pinpoint the fire location. • Optical detectors. Use or dispensing of pyrophoric materials, the materials which spontaneously react with air, cannot be monitored by conventional smoke or heat detectors. Their detection speed is simply too slow. Optical sensors for each application require judicious selection. (See Figs. 5 and 6.)
15.3 Continuous Toxic Gas Monitoring A continuous gas-detection system is required by Uniform Fire Code Article 51 and Article 80, where toxic or highly toxic gases are stored, dispensed or used. The system must continually monitor use areas for the potential presence of fugitive gases. These systems must be designed in accordance with: • UFC Articles 51 and 80 • UBC Chapter 3, Section 307 • Plant Safety and Insurance Requirements A continuous gas-detection system shall be provided to detect the presence of gas at or below the permissible exposure limit or ceiling limit. The detection system shall initiate a local alarm and transmit a signal to a constantly-attended emergency control station (ECS). The alarm shall be both visual and audible. The gas-detection system shall be capable of
Building and Fire Codes 461 monitoring the room or area in which the gas is stored at or below the permissible exposure limit or ceiling limit and the discharge from the treatment system at or below one-half the IDLH limit. (UFC 8003.3.1.6). Automatic shutdown of the toxic gas supply lines is required. The following code sections address the requirement for automatic shutoff valves: • UFC 5105.2.2 • UFC 8004.2.2.5 • UFC 8004.3.6.5
Figure 5. Life Safety Alarm Interface illustrating the various alarm and monitoring systems in a hazardous occupancy.
462 Semiconductor Safety Handbook
Figure 6. Integrated Life Safety Alarm/Monitoring Schematic.
Building and Fire Codes 463 Sensor Locations. The codes do not specify the exact location of gas sensors inside the fab area; they simply state that the gas must be detected. Extremely high air movement rates in the cleanroom play a major role in the selection of sensor location and spacing. Control, Signaling, and Levels of Detection. We recommend that two levels of detection be provided, a low warning level set at 1/2 TLV (threshold limit value) and a high alarm level set equal to TLV (coderequired). If the high level is reached, an alarm is sent to the ECS, a distinct toxic gas evacuation signal must be broadcast locally throughout the area, and the detected gas supply line must be automatically shut off. Gas Analyzers. The definition of “continuous monitoring” requires that each sensor or location be sampled and evaluated in periods not exceeding 30 minutes. Life-safety systems are required to be electrically supervised to provide indications of opens and shorts in wiring or malfunctioning components. It is recommended that high traffic areas be monitored more frequently than unmanned storage areas. All gas analyzers should be located outside the fab. Power Requirements. Connection to an emergency power source is requisite for all HPM gas analyzers. If the particular analyzer utilized must go through a “boot-up” process each time it is powered up, a UPS (uninterruptible power system) should be provided.
15.4 Emergency Spill Alarm In new H-6 facilities, transporting HPMs in code-required exit corridors is prohibited. In existing facilities, the UBC and UFC will allow transportation of HPMs in the exit corridors only if spill-alarm signaling devices are provided at 150-foot intervals (among other requirements outlined in other sections). The purpose of the HPM emergency spill alarm is to initiate a local alarm and to report to the ECS the conditions of the spill, leak or other accident within the exit corridor used to transport HPMs. Although a manual pull station is acceptable by the code, we recommend utilizing a fireman’s telephone mounted in an enclosure. Spill Containment Areas. Areas used for the storage of hazardous liquids are required to have provisions for spill containment. When secondary containment is required, a monitoring method capable of detecting hazardous material leakage from the primary containment into the secondary containment shall be provided. Another good method for detecting
464 Semiconductor Safety Handbook chemical spills is to install detection systems in the bottom of piping trenches below the fab area’s raised floor.
15.5 Audible Alarm Evacuation In lieu of the “distinct tones” acceptable to the codes for the various hazard warnings, we recommend you provide a pre-programmed voice alarm system. The voice alarm evacuation system consists of high-reliability speakers located throughout the facility which will broadcast distinct verbal messages to reduce confusion and help eliminate panic in an emergency evacuation of a given area. The following distinct audible alarm systems are required: • Fire alarm evacuation signal • Toxic gas evacuation signal • Chemical spill evacuation signal If a voice evacuation system is not provided, all existing audio speakers or horns not capable of broadcasting three (3) distinct evacuation signals must be upgraded or replaced in order to conform to the H-6 code requirements.
15.6 Visual Alarm Signaling If a fire, toxic gas or chemical spill alarm is initiated in an area, strobe lights must be energized. The strobe lights must be of a frequency which will not induce epileptic seizures. Strobe lights of distinct color should be mounted above emergency spill alarm phones. Flashing “DO NOT ENTER” signs should be considered for all hazardous areas. Connect to the alarm/monitoring system. Flashing exit signs may be required. Check with your local jurisdiction.
15.7 Fireman’s Command Station Although not required by the code, a fireman’s command station consisting of a telephone handset is recommended for direct communication to the ECS at remote locations of the facility.
Building and Fire Codes 465 16.0 RETROFIT AND RENOVATION OF HAZARDOUS FACILITIES TO COMPLY WITH “H” CODES When a retrofit of an existing facility is contemplated, the impact of new code provisions on the construction and operation of that facility must be addressed. The owner, facility staff and code regulators must agree upon a reasonable level of code compliance. The really difficult issue to address is: what should be done, in addition to what must be done? In that the implications of the code requirements represent significant expenditures with the potential for interruption of production, it may be desirable to accomplish the work in a phased manner. Owners often believe their facilities are “grandfathered.” While there may be a case for acceptance of certain specific non-conformities (with current codes) in a facility which is to remain unchanged, this cannot be taken for granted. Buildings in existence at the time of the adoption of the current UBC code may have their existing use or occupancy continued, if such use or occupancy was legal at the time of the adoption of this code, provided such continued use is not dangerous to life. (UBC 3401). It is incumbent on the owner to ensure his facility is safe for occupants and the general public. Thus, it is necessary to continually evaluate the life safety of each facility and act accordingly. Recent code provisions, changes in technology, and changes in the use of a facility may result in the need to reconsider whether or not the facility is “dangerous to life.” These are evaluations best undertaken by the owner’s risk management experts, in concert with the insurance underwriter and the local code authorities.
16.1 Renovation Many industrial facilities were designed as B-2 occupancies, prior to the advent of UBC occupancy classifications H-3, H-6 and H-7. UBC B-2 does not address the subject of allowable floor area with respect to chemical usage. Prior to 1985, these facilities were allowed to be of unlimited area, provided they were sprinklered and had side yards. Provisions of UBC Chapter 3, Section 307 (Hazardous Occupancies) and UFC Article 51 limit the allowable areas of these occupancies with respect to types and quantities of chemicals used.
466 Semiconductor Safety Handbook The extent of a renovation, addition, or change in function, which may be tolerated before the entire building needs to be brought into compliance, is a matter of the interpretation of the local building official and/or fire marshal. The knowledgeable facility owner can influence this by negotiation of alternative strategies. An example of a situation where code regulators are taking a proactive role in life safety is the promulgation of Toxic Gas Ordinances in several jurisdictions. In these ordinances, the owner of a facility using toxic gases must comply with the new regulations (integrated with UFC Article 80), whether or not the facility is modified.
16.2 Code Agency Liaison The relationship between the designer, building owner and building official throughout the design, construction, and operation of any facility is extremely important. However, a good working relationship with the local code authorities is desirable, if not mandatory, to operation of a hazardous occupancy facility. The Design Professional is responsible for proposing methods of compliance with the intent of the various codes applicable to the project. The designer must be able to integrate the life safety aspects of the code into a practical facility which meets the owner’s needs. The Building Official is responsible for reviewing and verifying the design with respect to the applicable codes. The building official is not charged with ensuring compliance methods. The Owner of a facility is the individual or company ultimately responsible for the safety and welfare of the employees and public using the facility. Thus, the ultimate burden of complying with the intent of all codes rests with the building owner. The code standards provide minimum requirements and do not relieve the owner from the need to build and operate a safe environment. The owner and design team should incorporate into the facility as many techniques available for maintenance of life safety as are feasible. Current industry standards and knowledge of safe practices frequently are ahead of codes and regulations. The UBC provides the building official latitude in dealing with difficult or unusual circumstances under Sections 104.2.8 (Alternate Materials and Methods of Construction) and Section 104.2.7 (Modifications). These code sections give the owner/designer the opportunity to negotiate
Building and Fire Codes 467 compliance strategies which may not meet the letter of the code, but satisfy its intent. Preliminary Code Evaluation/Study. Conduct a thorough evaluation of existing hazardous facilities which are known to deviate from the requirements of the UBC/UFC code family. The basic criteria of concern include: • Exiting • Area and occupancy separations • HPM storage and handling • Ventilation • Monitoring and alarm systems • Emergency operation scenario • Fire suppression systems The code evaluation should culminate in a report to management which outlines the deficiencies of the facility, addresses potential compliance strategies, quantifies potential cost and recommends a plan of action. Some code requirements may not be mandatory, but should be done, nevertheless, to limit corporate liability and mitigate danger to life and property. Preliminary Presentation of Project to Building Officials. Early on in the design or review process, a dialogue should be established between the owner/designer team and the building official. Approach the building official with a clear plan, outlining the scope of the project and seek his understanding of the code requirements relevant to that scope. Be prepared with intelligent, considered questions related to issues which are subject to interpretation. When provided with adequate information, the official will have a high level of confidence and, therefore, less tendency to render a “conservative” opinion or ruling in matters of judgment and interpretation. Issues which the owner/designer should be prepared to discuss with the building official: • General size and arrangement of the facility and its relationship to the site and other buildings • Provisions for access to all sides of the building • Separation of the building from adjacent buildings • Size and arrangement of various occupancies within the facility • Location and arrangement of provisions for exiting
468 Semiconductor Safety Handbook • Location and size of the hazardous areas within the overall project • Location and size of HPM storage areas with respect to other occupancies, property lines and roads • Proposed means of delivery of HPMs to the facility • Arrangement and usage of multiple levels of the facility • Location of the emergency control station • Proposed method of handling emergency power and ventilation • Proposed method of dealing with alarm and monitoring systems Proposed method of “treatment” of toxic or hazardous discharges and their location with respect to property line and fresh-air intakes The owner should discuss plans to phase compliance strategies (if applicable) in a logical and timely manner, based on the rationale that full and immediate compliance with the new code provisions will be prohibitively expensive or potentially cause a shutdown of operations. The owner/designer should follow-up to the meeting with the building official with a formal memorandum outlining the issues discussed, issues resolved, concepts agreed upon and issues pending further resolution. Document all decisions which were made concerning alternative methods/ materials or modifications to show clearly the rationale for their acceptance. The benefits of early understanding of the project requirements are: • Faster plan check • Greater assurance of obtaining permit • Improved rapport with building official • Less design time • Earlier start of construction
17.0 PRIORITIZING CODE COMPLIANCE ISSUES Compliance issues should be prioritized on the basis of the perceived danger to life and property posed by each issue, if noncompliance is continued. A decision-making matrix may help you quantify or weigh the relative importance of each issue to your facility (see Fig. 7).
Building and Fire Codes 469
Figure 7. Priority Decision Matrix may be used as a tool to subjectively and somewhat quantitatively prioritize needed code compliance retrofits.
18.0 PHASING OF PROJECT CONSTRUCTION Careful planning of phasing and anticipated schedules will help all parties understand such issues as: • Production interruption • Potential hazards • Cash flow
470 Semiconductor Safety Handbook • Impact on facility infrastructure
18.1 Scheduling Preparation of the schedule must address the needs of the production group to coordinate necessary interruptions in their operation. The building official will also need to be advised of the plan, particularly if multiple bid packages and multiple permits are desired. Scheduling must take into account adequate time for the following tasks: • Code analysis/evaluation • Code agency review • Design and documentation of the construction work • Equipment purchase and delivery • Allowable “windows” of production shutdown • Construction time • Start-up and commissioning of facility systems It is often advantageous (or necessary) to pre-purchase the major components or systems before the construction documents are completed. This will expedite project completion.
18.2 Project Manager The project manager serves to focus all members of the team on goals, schedules, budget and related concerns. One point of contact between owner, designer, contractor, and building official should be maintained throughout the course of the project, until completion, to ensure important issues do not “fall into a crack.” The project manager should be responsible for maintaining the record copy of all documents, meeting minutes, directives, estimates, rulings, etc. for the protection of the owner. Such records will be crucial in the event of an instance of “convenient” memory loss on anyone’s part. The project manager’s team needs to include all or some of the following resources: Engineer/architect (may be owner’s staff) User group representative/spokesman
Building and Fire Codes 471 Facility engineering group Operations group Safety representative Environmental compliance representative Security representative Construction manager (may be owner’s staff) General contractor (if appropriate) Purchasing agent Construction coordinator (in-house staff representative)
18.3 Contractors It is vitally important that the contractor(s) selected to perform the work understand the critical nature of the operation of a cleanroom or other industrial facility and the impact their activities may have on production. The construction manager or owner’s construction coordinator should insist on periodic progress meetings with the contractor(s) to update the schedule, discuss potential delays or problems and generally ensure a successful project through coordination and cooperation. System start-up and commissioning must be carefully accomplished under the direction of the designer. The time required to bring complex systems on-line is often underestimated, thus resulting in schedule delays. The success of the project is dictated by the level of planning, understanding and commitment of all concerned! [For more in-depth discussions on the subject of building and fire code compliance for semiconductor/related facilities, see the code reference book entitled, Code Compliance for Advanced Technology Facilities, A Comprehensive Guide for Semiconductor and Other Hazardous Occupancies, William R. Acorn, author; published by Noyes Publications, Westwood, NJ.]
472 Semiconductor Safety Handbook
10 Gases and Gas Equipment Richard P. Brookman and Bruce Tibbott
1.0
INTRODUCTION
The use of bulk and process gases in semiconductor manufacturing is universal. In the great percentage of cases, gases represent the highest purity doping sources, etchants, precursor material and inerting atmospheres achievable. The delivery of gases to the reaction environment can be precisely controlled in contrast to liquid or solid sources, and gas mixtures can be readily supplied to satisfy specific process variables. Gases are more mobile than solids and liquids in that they are already in the vaporized state, therefore, they disperse readily with little or no energy input. Various mechanisms, procedures and hardware exist to contain, use, and dispose of gaseous chemicals. An understanding of the physical and chemical properties of a gas is mandatory prior to its use. Also an appreciation of the components used to contain, control, use, and dispose of gases in a responsible manner, should be developed. This chapter presents toxicological, chemical, and physical property data on most of the gases commonly encountered in the semiconductor manufacturing environment. MSDS’s should be consulted for information on firefighting, emergency response and first aid. Equipment assemblies to contain, control and use gases are also described as well as a description of
472
Gases and Gas Equipment 473 the piping system that may be used to distribute bulk and process gases throughout the facility.
2.0
GAS DISTRIBUTION SYSTEMS IN PLANT PIPING
Gaseous hazardous production materials used in semiconductor manufacturing are controlled and dispensed using an elaborate array of containment and control equipment. A variety of fire and building codes and ordinances in several jurisdictions have formalized the minimum requirements deemed necessary for safe usage of these materials. Separate areas of buildings are set apart for the storage of gases. Scrubber systems of varying types are used to dispose of the unused gaseous effluent. Between these points is the process gas piping system which conveys the gases from storage, to use point, to disposal.
2.1
Materials of Construction
Today, the leading material of construction for gaseous hazardous production material piping is 316L stainless steel. For most gases, it has been shown to have a favorable combination of features for the application: relative inertness to most gases, electropolished passivation layer, good weldability, availability in a wide range of sizes and forms, cost competitiveness with similar materials, and a broad range of products (valves, etc.) of similar construction. Stainless tubing is readily electropolished. Depending on the roughness of the mechanical finish of the starting material, final surface roughness of 3–5 microinch Ra, or lower, can be achieved. Roughness of 7–10 microinch Ra are routinely produced in the tubing sizes commonly used in semiconductor fabrication facilities. The resultant chromium oxide rich surface layer (several angstroms deep) enhances the passivation of the wetted surface resulting in increased inertness to corrosive materials and less opportunity to be a particle generation source. In some applications 316L SS does not afford the maximum degree of inertness possible. The recourse is to employ more specialized metals that preserve the more desirable properties of stainless 316L (work and weldability) but offer increased corrosion resistance, generally at greater cost. Hastelloy C and tantalum offer excellent corrosion resistance to both
474 Semiconductor Safety Handbook wet and dry chlorine. Hastelloy B, nickel and tantalum are highly resistant to attack by both wet and dry hydrogen chloride. The difficulty arises in sourcing piping components for other less common materials of construction. Whereas many piping components are becoming available in Hastelloy and Monel, other component materials in tantalum, for example, are much less common. To combine dissimilar materials could create more problems than are solved. Weld procedures may not exist, or would have to be developed. Galvanic corrosion becomes an increased factor. Finally, consideration must be given to the process lifetime of a gas delivery system. If the system would achieve obsolescence in, say two years, the increased cost of more exotic componentry may not be cost justified. There are a variety of methods available to join lengths of pipe together along with piping components, such as valves. As might be expected, each has features which recommend it, but in every case there are trade-offs. However, one joining technique has gained near universal acceptance for critical gas piping, and that is orbital welding (GTAW). The other two commonly used methods in some semiconductor applications, discussed here, are compression fittings and the VCR connection.
2.2
Compression Fittings
These fittings generally consist of four individual components to make up one fitting; front ferrule, back ferrule, nut, and connector piece which is generally shared with the mating fitting. The compression fitting works on thin-walled tubing of most any material, as long as the fitting hardness is greater than that of the tube. In application, the combination of the front and back ferrules in conjunction with the nut serve to crimp the tube around its perimeter when snugged down against the mating piece. A small length of tube extends out in front of the front ferrule. The rough tube end is exposed to the gas stream. The deformation of the tube will damage any electropolished surface. The main advantage of compression fittings is the ease with which the tubing components are joined together. Simple tools and not much experience can get the job done. Also, required changes in pipe routing, or expansion of the system, can be effected without difficulty. A disadvantage is the potential of the connection working loose due to vibration. The damage to the electropolished surface was already mentioned. Because of the usually unavoidable rough tubing end surface, particles are a
Gases and Gas Equipment 475 constant problem. This fact along with the modest leak rate specification make compression fittings unacceptable for critical process and bulk gas applications.
2.3
VCR Fittings
These fittings utilize two glands and straight threaded male and female nuts in combination with a gasket to effect the joining of two components. The end pieces (glands) are generally welded to the appliance, be it a tube end, valve, or some other component. A replaceable gasket is positioned between the glands and is compressed when the nut is tightened. No deformation of the tubing occurs, therefore damage is not done to any electropolished surfaces. VCR fittings allow direct replacement of components since dimensionality is maintained, i.e., replacements can be “slipped” in place. This is an advantage in tubing jungles and other similarly congested areas. The leak integrity of VCR connections is excellent, achieving up to a 1 × 10-9 cc atm/sec rating. They are subject to vibration to a greater degree than compression fittings. The choice of the gasket generally is a function of the fluid being conveyed. The most common materials for gaskets are silver-plated nickel, nickel, and stainless steel. Obviously, silver is the softest and can be expected to give the best seal; it is also the most reactive and is thus precluded from many applications.
2.4
Orbitally Welded Fittings
Fittings is probably a misnomer here since the joining is the mere butt welding of two tube ends. In order to effect a reliable weld, several factors must be considered; weld machine voltage, current, dwell time, purge and alignment, among others. Proper tube preparation is also critical for consistent welds. For these reasons welders are generally employed that have received specialized training in inert gas orbital welding techniques. Compared to other techniques orbital welding is the most successful in preserving the integrity of electropolished surfaces (VCR connections require two welds per connection, the making and breaking of the connection itself does not affect the surface). Additionally, butt welding, as compared to socket welding, does not contribute virtual leak areas for degassing or particle generation. No fluxes or foreign materials are introduced thus preserving the clean integrity of the line.
476 Semiconductor Safety Handbook 2.5
Routing Considerations
The foremost consideration is that hazardous production material pipelines do not penetrate areas which are not classified as an H exposure. If they do, they must be double-contained such that the annular space only communicates with a hazard classification area. Process gas lines should be as short as possible consistent with efficient grouping and reasonable support requirements. Depending on tube diameter, support can vary from 4 feet to 10 feet. Thin-walled tubing is supported off of the brace by clips which serve to cushion and lift the tube. The tubing support should be as open a structure as possible to allow ready identification. The tubing should be labeled with its contents at frequent intervals and immediately on both sizes of any penetration. The direction of flow should also be indicated. The lettering of all labels should be on a contrasting background, preferable with a color that is indicative of the chief hazard, i.e., red for flammable. Where penetrations are made from the subfab into the service cores, they should be located along wall lines so as not to intrude on walking areas. Further, the lines should be routed along walls and firmly supported. Lines which serve vibrating machinery should have flexible links to dampen oscillations.
2.6
Secondary Containment
The Toxic Gas Model Ordinance requires all Class 1 gases to be contained in a process tube enclosed in a secondary containment tube. Class 1 materials are those with a material hazard index of greater than or equal to 500,000, or that carry a US Department of Transportation classification of Poison A. (Note: The Department of Transportation no longer uses the Poison A classification, and there is no direct replacement. Reference Code of Federal Regulations, Title 49, parts 172 and 173 for guidance). Secondary containment for process gas lines can be operated in three different modes: pressurized, vacuum, and purge. In the pressurized mode the coaxial outer line is sealed at both ends, inside the gas cabinet and inside the tool. The annular space is pressurized to a pressure greater than the process gas line. If there is a leak in the process tube, there will be a net flow of pressurization gas (nitrogen, argon, or helium) into the process tube with a reduction of pressure in the annular space. A pressure transducer in the annular space will signal this condition.
Gases and Gas Equipment 477 One disadvantage is that the pressurization gas leaking into the process may be deleterious to the process, so it should be chosen accordingly. A second potential problem would be caused by a leak in the coaxial jacket giving a false indication of a process tube leak. In the second method, the annular space is operated at a vacuum. A process tube leak would be detected with a toxic gas sensor and/or a pressure transducer. This technique shares one disadvantage with the pressurized method and that is a leak in the containment tube would give an erroneous indication. However, a toxic gas sensor in the annular space in combination with a transducer would eliminate this ambiguity. The third method involves sealing the process tube at the tool and providing a nitrogen purge from the tool through the annular space back to the gas cabinet, where it is discharged into the vent space of the cabinet. The gas cabinet toxic gas sensor would detect any leak. A disadvantage is the use of purge gas (usually nitrogen) which is continually required, although the flow rate can be kept quite low. A second disadvantage is that the leak may be in the gas cabinet panel or the process tube. One cannot immediately tell which is the case unless a second gas sensor is dedicated to the containment annular space.
2.7
Dedicated Versus Branched Lines
A choice can be made whether dedicated lines, one panel, one tool will be used or branched lines, where one panel may supply gas to several tools. Through the use of branched lines, fewer gas cabinets are required, although a downstream valve box may be necessary, particularly if differing pressures and flows are required by the various tools on the line. Consideration should be given to contamination. An off-specification cylinder can affect the production of several tools rather than just one. Countering these concerns is the fact that lesser amounts of hazardous material need to be on site at any given time. Additionally, componentry is steadily achieving higher levels of purity so system-induced contamination is becoming less of a concern. Also, branched lines, all else being equal, have higher and more consistent flows, thus reducing the potential deleterious effects of outgassing of moisture or oxygen. Moreover, some of the unique properties of a few gases such as polymerization or corrosive effects are reduced.
478 Semiconductor Safety Handbook 2.8 Pressure Testing After a process or bulk gas tubing installation is completed, a pressure test must be done to assess the integrity of the tube as well as connections or welds. Typically the pressure test is conducted using nitrogen or helium. The test pressure is a function of the tube specification and the pressure rating of the valves and other appliances which may be a part of the system. Usually, the test pressure is 150% of the service pressure of the lowest rated item. Though there is no fixed rule for semiconductor type installations, the lines are generally held at pressure for 24–72 hours. At the end of that time, there should be no discernible pressure change on a test pressure gauge after temperature compensation. For coaxial lines, the process tube undergoes the whole series of tests prior to containment closure.
2.9
Leak Testing
Two standard types of leak testing are done on new installations of semiconductor process gas and bulk gas piping; inboard and outboard. Outboard leak testing of process lines is usually dispensed with since little additional information is gleaned from this after the nitrogen pressure test. In the inboard test, each joint or connection in the line is “washed” with helium. The helium detector is at the end of the evacuated line and detects any helium atoms that migrate the length of the tube. With portable helium leak detection equipment, leak rates of greater than 1 × 10-9 cc atm/second can be found. After the physical integrity of the pipeline has been established, then the chemical and particulate analyses are done. The details of the chemical analysis are discretionary, and depend on the bulk or process gas. A full range of analyses might include: water, oxygen, total hydrocarbons, carbon monoxide, nitrogen, carbon dioxide and hydrogen. However, in most cases the important considerations are water and oxygen. For portable analytical equipment, water and oxygen can be measured to the low parts per billion levels utilizing dedicated and specialized detectors. At present, atmospheric pressure ion mass spectroscopy would be required to achieve such levels for most of the other major contaminants. APIMS cannot at present be considered a “portable” analytical methodology that lends itself to use in the field.
Gases and Gas Equipment 479 2.10 Particulate Testing Particulate quantification of process lines uses two different technologies: laser particle counting and condensate nucleus counting. Laser particle counting can categorize the particles according to size, the lower detectable limit, at present, is about 0.07 microns. A condensate nucleus counter can currently measure down to 0.01 microns, but cannot categorize according to size. At times it may be necessary to use both methods in order to get approximate counts of particles in the sub 0.10 micron ranges. Although some particle counters can measure in the gas of interest, for example, oxygen, most require an inert gas or nitrogen. For purposes of qualifying a line this restriction is not normally a limitation. The gas is used at a flow-rate commensurate with the rate which the bulk or process gas will flow. In most cases, the counts achieved after flowing one cubic foot of gas constitutes a data point. Repeated trials are usually done and trend lines may be established. If the results are not what is expected, the purge is continued, possibly more aggressively than normal along with “hammering” of the pipe to release residual particles. Any valves or regulators in the line should be exercised throughout their entire range until measured particles are within specified limits. Purge is continued until the particle count and distribution is within specification.
3.0 GAS CYLINDERS—SAFE USE AND HANDLING 3.1
Cylinder Types and Specifications
Just as there are different types of gaseous chemicals, there are different types of cylinders for handling these gases, depending on their specific properties and the needs of the user. Cylinders come in many shapes and sizes from the smallest lecture bottle to single cylinders capable of holding 1 ton of liquefied compressed gas. Generally the shorter and broader cylinders are used for lower pressure service while narrow, seamless type cylinders are used for higher pressure service. Cylinders may have round or flat bottoms, and some have foot rings attached to help them stand upright. Sometimes larger volumes of gas are dispensed from a number of cylinders manifolded together to form “6 packs” or from multiple stacks of long cylinders that comprise tube trailers.
480 Semiconductor Safety Handbook While many cylinders are constructed of carbon steel, other materials such as aluminum or stainless steels are also used. Sometimes the possibility of the gases reaction with the cylinder wall dictates the type of cylinder material specified. Some cylinders have special internal coatings to resist attack from corrosive gases or to provide a higher purity product. Cylinders for use with cryogenic liquids are constructed of special materials that will not lose their impact resistance at very low temperatures. Manufacturers of cylinders try to assure safety by complying with Department of Transportation regulations that govern the materials of construction, the service pressures, the capacities, and the test procedures in accordance to the cylinders’ designs. Cylinders constructed according to most DOT specifications may be allowed for use with a number of different gases, provided that it is filled properly and the correct safety devices are used in conjunction with the specific gas.
3.2
Compressed Gas Cylinders
The Department of Transportation defines a compressed gas as “any material or mixture having in the container an absolute pressure exceeding 40 psi at 70°F, or regardless of the pressure at 70°F, having an absolute pressure exceeding 104 psi at 130°F; or any liquid flammable material having a vapor pressure exceeding 40 psi absolute at 100°F as determined by ASTM Test D-323.” Gases that meet this criteria must be supplied in cylinders designed for compressed gas service as regulated by DOT. A typical compressed gas cylinder could be described as follows. The cylinder cap is either threaded onto the cylinder collar or attached to it by a bayonet type mounting. The cap protects the cylinder valve from damage during transportation and storage and should only be removed when it is time to attach regulating equipment to the cylinder valve. A number of stampings are usually found below the collar on the necked-down portion of the cylinder. These include a marking indicating that the cylinder conforms to Department of Transportation specifications, for example “DOT 3-A”. Also marked is the cylinder’s service pressure at 70°F. Stamped into the metal is also the cylinder serial number and a stamping that indicates the month and year that the cylinder was first hydrostatically tested. Subsequent hydrostatic pressure tests to determine fitness of use are usually performed on cylinders at either 5 or 10 year intervals depending on the type of gas service. New test dates are then stamped into the cylinder. Stamped also is
Gases and Gas Equipment 481 the insignia of the original inspector who conducted the DOT approval testing, and the “Tare Weight” of the cylinder and valve together, this weight being used when filling cylinders by weight, called gravimetric filling. A cylinder of compressed, non-liquefied gas, may be filled to the service pressure stamped on the cylinder shoulder if the fill temperature is 70°F or less. If the gas is a non-liquefied, nonflammable, and nonpoisonous gas then a 10% overfill is allowed if the cylinder has passed an extra overpressure test as indicated by a “+” sign stamped following the hydrotest date. Compressed gases have properties that make their handling more hazardous than the handling of liquids and solids. These properties include pressure that can lead to equipment leakage and easy diffusivity in air to spread the leakage quickly. Flammable gases may have low flash points and toxic gases may not be easily detected visually or by odor.
3.3
Liquefied Gas Cylinders
Many of the common semiconductor gases, such as hydrogen chloride or nitrous oxide, are stored in compressed gas cylinders in their liquefied state, since their pressures at 70°F cause them to be defined as a liquefiedcompressed gas. These gases are normally drawn from the top of the cylinder in their gaseous state. Some other liquefied gases such as trichlorosilane or boron trichloride have much lower pressures allowing them to be dispensed from cylinders having thinner walls that are designed for containing lower pressures. Some liquefied gases are dispensed from the cylinder in the liquid state by the use of a dip tube that is inserted into the cylinder and draws from the bottom. Other liquefied gases have a carrier gas that is injected through the dip tube and bubbled up through the gas then dispensed as a gas mixture. It is imperative that the person connecting the liquefied gas cylinder be aware of which type it is and where the proper connections are. All cylinders containing gases in their liquid state are filled based on their filling density. The filling density is defined as the maximum weight of the material allowed in the cylinder, as a percentage of that cylinder’s water capacity. There is no overfill allowed with liquefied gases since temperature expansion of the liquid could result in catastrophic cylinder failure.
482 Semiconductor Safety Handbook 3.4 Cryogenic Cylinders Some plant processes require very large amounts of certain gases such as nitrogen or hydrogen. In some cases the most economical way to provide these large volumes is by using a cryogenic source. In some cases this may be a large storage tank permanently located outside the plant that is refilled as its contents are depleted. Other plants may use portable cryogenic vessels that can hold the equivalent of 30 or more compressed gas cylinders, and are disconnected from the process and refilled at another location then returned to the plant. The cylinders or containers used for cryogenic liquids should always be well insulated, since cryogenic liquids are extremely cold and the cryogenic liquid or uninsulated components containing the liquid can cause severe damage to skin and other body tissues. Cylinders/containers for cryogenic liquids are usually constructed of double walls with insulation or vacuum between the inner and outer wall. Over time, heat will be transferred through the wall of the cylinder to the cryogenic liquid causing some of the liquid to change state to a gas. This results in a pressure increase that must be relieved. For this reason cryogenic cylinders will usually employ a pressure gauge, and multiple pressure relief devices like a pressure relief valve, and a frangible disc pressure relief device. Because these cylinders will be venting excess pressure from time to time, they should always be stored in a well-ventilated area. Cryogenic liquids produce very large volumes of gas (and subsequently very high pressure) when vaporized, so care must be taken never to allow cryogenic liquid to become trapped in components or piping without pressure relief safety devices in line. Since many of the commonly used cylinder materials may become brittle and fracture easily at extremely low temperatures, cryogenic cylinder materials are chosen to provide the proper working characteristics at low temperature, and only cylinders designed specifically for these conditions should be used. Cryogenic cylinders must be handled with care and never dropped, rolled, or tipped on their side. The same storage precautions that apply to the stored material in its gaseous form should also be followed when storing the cryogenic liquid. When storing liquefied oxygen, never place the containers on wood or asphalt, since these materials may explode if saturated with oxygen and subjected to shock. Smaller amounts of cryogenic liquid may be handed by dewar flasks.
Gases and Gas Equipment 483 These containers may be made of metal or, for smaller sizes, glass as long as the flask is taped and covered by a plastic mesh to contain flying glass should the container shatter. Transfer of cryogenic liquids should be done with special tubing and pumps made for this service. Uninsulated lines or components carrying cryogenic liquids, while presenting the hazard of possible frostbite if touched, may also be so cold that it can condense the oxygen out of the surrounding air, causing a flammability and detonation hazard.
3.5 Cylinder Valves and Safety Devices The cylinder valve is usually an angle valve with the valve inlet being at the bottom of the valve and threaded into the cylinder opening. At the top of the valve is the handwheel or in some cases a valve stem with its end squared for wrenching. The valve outlet is at a right angle to its inlet, pointing horizontally when the cylinder is in the upright position. It is extremely important that the cylinder valve operate smoothly and easily and that it be completely leak-free to insure proper safety. Cylinder valves are generally of two basic types, the packless (diaphragm) type and the packed type. These two types are described in detail below. The diaphragm valve has a two piece stem, with the upper stem position controlled by the handwheel. This upper stem bears down on the diaphragm that bears down on the lower stem. The lower stem is forced down and closes off the valve orifice to stop gas flow from the cylinder. The lower stem is in the gas stream but since the diaphragm forms a tight metalto-metal seal in the valve body, the upper stem sees no gas and the possibility of stem leakage is reduced. Because of this type of design, it is possible for back pressure downstream of the cylinder to hold the lower stem closed, even though the diaphragm has been lifted. A special tied diaphragm valve that prevents this from happening is gaining popularity. This design has the lower stem connected to the diaphragm so that when the diaphragm lifts the stem and seat always open. The packed type cylinder valve is usually used with corrosive gases where problems can occur with the stem sticking. The packed type has a single stem that helps overcome these sticking problems, especially the newer designs that have the stem threads above the sealing section of the stem. Packed type valves generally have a higher leakage rate than do diaphragm valves due to higher leakage rates across the packing section.
484 Semiconductor Safety Handbook 3.6
Cylinder Connections, Types and Uses
The cylinder valve outlet connection may be one of many types. In the United States and Canada the Compressed Gas Association (CGA) has established standard outlet valve connections for most gases, hereafter referred to as CGA connection. These different outlet connections were assigned to minimize the possibility of connecting a gas to a system not suitable for use with that gas. These connections are designed to provide a gas-tight seal when connected and tightened properly and used at pressures not exceeding those specified for their use. Specifications and gas assignments for CGA connections can be found in CGA Pamphlet V-1 “Compressed Gas Cylinder Valve Outlet and Inlet Connections.” The CGA valve connection varies as to the diameter and type of thread, right-hand or left-hand, male or female. Also some have seating surfaces that require a gasket for leak-tight sealing (generally assigned to corrosive gases) while others are designed to seal metal to metal with the mating connection. Often gaskets are supplied with the equipment to be connected, but care should always be taken to make sure that the gasket material is compatible with the gas being used. Figure 1 shows details of some of the standard connections for typical gases used by the semiconductor industry. On most CGA connections designed before 1988, the lefthanded threads were generally assigned to the more hazardous gases like the corrosives, flammables, and poisons. Usually the left-handed threads can be identified by a grooves on the points of the hexagon nut of the valve’s mating connection. Some of the newer connections used for semiconductor gases, (the 630 series and the 710 series) may use right-handed connections for gases that had previously used left-handed connections. Some users think that all threads should be right-handed to avoid confusion in the emergency situation where it becomes necessary to quickly tighten a leaking connection, and operator may loosen a left-handed connection by mistake. These newer CGA connections are designed to provide a higher purity connection to the cylinder, and they employ a stationary metal face seal gasket that forms a seal with a very low rate of leakage. These connections are also designed to be connected without creating particles from tightening by using a built-in anti-rotational feature that prevents the sealing faces from grinding against each other. Figure 2 shows some of the newer type CGA connections assigned for semiconductor gases.
Gases and Gas Equipment 485
Figure 1. Standard connections for typical gases used by the semiconductor industry.
486 Semiconductor Safety Handbook
Figure 1. (Cont’d)
Gases and Gas Equipment 487
Figure 2. Standard 630 and 710 series Diameter-Index Dimensions for electronic gases.
3.7
Safety Devices (Reliefs, Fuse Plugs, RFO’s, Etc.)
All DOT compressed gas cylinders use safety relief devices except those containing class A poison gases. In this case, the risk of exposure to the gas fumes is considered more hazardous than the chance of a cylinder failure. Gases that do not have pressure relief devices are usually allowed less maximum cylinder contents or use higher safety factor cylinders.
488 Semiconductor Safety Handbook Cylinders may have pressure relief devices incorporated in the cylinder valve, or in plugs in the cylinder proper, or a combination of both. Certain types of gas service or certain long cylinders may require pressure relief devices at both ends of the cylinder. DOT-required pressure relief devices must be approved by the Bureau of Explosives. There are four basic types of safety pressure relief devices in use. 1. The spring-loaded safety relief valve is most commonly used on low pressure liquefied gas cylinders. The springloaded seat on this device will open to vent excess pressure, then reseal when the pressure drops somewhere below its original opening setpoint. 2. The frangible disk is used mostly on high-pressure cylinders. This disk will burst, releasing the entire contents of the cylinder, at a pressure above the service pressure and above the hydrostatic test pressure of the cylinder. 3. The frangible disk backed up by fusible metal will burst only if the temperature of the fusible metal’s melting point has been exceeded and there is also excessive pressure in the cylinder. This occurrence will also release the entire contents of the cylinder. 4. The fusible metal device melts when high temperature (160–212°F) is reached. This will also allow all the contents of the cylinder to escape. Any of these devices will provide protection against a cylinder bursting from excessive temperature, except in the case where an intense flame impinging on the sidewall of a cylinder may weaken the metal at that point and cause a local failure before the heat or pressure cause the safety relief device to operate. Devices 3 and 4 may not provide protection in cases where a liquefied gas cylinder has been overfilled and exposed to a high temperature that is below the fusible metal’s melting point. Because of this, extreme care should be used when filling liquefied gas cylinders and filling should never be attempted by the user. Never tamper or attempt to remove or block a cylinder’s pressure relief devices since this could cause an extremely hazardous condition.
Gases and Gas Equipment 489 Another safety device that is available as part of the cylinder valve is the reduced flow orifice. This orifice is made of a small plug that is installed into the cylinder valve outlet, and has a very small hole drilled through it to limit the flow of gas through the valve. Should the cylinder valve or components downstream of the cylinder develop a leak, the reduced flow orifice would limit the rate of that leak to a lower flow than would occur through the unrestricted cylinder valve outlet. A lower flow leak is more easily handled by safety personnel, ventilation systems, and gas abatement systems.
3.8
Receiving and Identification of Cylinders
Before a receiving department accepts a cylinder of gas, the contents must first be identified. The gas name may be stenciled on the cylinder or shown on a label or decal that is attached to the cylinder body. An identification tag may be attached to the cylinder valve and/or to the valve protection cap, and these tags may also contain safety precautions. One or more DOT labels showing the hazard classification of the should be attached to the cylinder. Although many gas suppliers use color coding as a secondary means of identification, the codes differ from supplier to supplier and the user should never rely on color as a primary means of identification. The printed cylinder identification markings and tags, identifying the gas by name, should be the primary means of establishing cylinder contents. All forms of identification should agree before the user accepts the cylinder for use. The DOT label is diamond shaped and supplies the user with only the chief hazards associated with the gas. The degree of hazard is not readily indicated. Many gas suppliers provide more detailed information on the hazards associated with the gas they distribute. Also, most suppliers send a MSDS (Material Safety Data Sheet) to each customer who receives a gas cylinder. This MSDS should be on file at facility where the gas is being used and be available for reference by all employees when detailed information on properties and hazards is needed. If the cylinder has undergone international transport, it should be marked in accordance with rules set forth by the International Standards Organization. If the cylinder has been shipped from overseas, then it will be labeled following rules established by the Intergovernmental Maritime Consultive Organization (IMCO).
490 Semiconductor Safety Handbook 3.9
Leaking Cylinders
An important operation in receiving the cylinder is to check it for leaks prior to storing or using the cylinder. This can be done using one of the numerous monitoring devices that are available. Some are used for specific gases and some can detect any one of a number of specific gases. Some leaks can also be found using a soap bubble solution applied to the cylinder valve, however this method can be messy and may cause contamination problems when used on certain areas of the cylinder valve. If the cylinder valve has a packing nut, a leak can sometimes develop around the packing. This can sometimes be corrected by tightening the valve packing nut. Always determine the correct way to rotate this nut before tightening it since some valve packings rotate clockwise (when viewed from above) to tighten it and some valve packings rotate counterclockwise. Sometimes the valve handwheel has worked loose during shipment and simply tightening the handwheel slightly can stop the leak. The dustcap that is inserted into the cylinder valve outlet should also be tightened as a backup should the cylinder valve be accidently opened. Also the cylinder may leak at the threads where the cylinder valve is inserted into the neck of the cylinder. This type of “neck leak” is difficult to fix on site. If any of these leaks cannot be immediately corrected, then the cylinder should be moved to a hood where the leak can be safely vented, and the supplier of the gas should be notified. If it is necessary to contain the leak immediately on site, the cylinder may be placed inside a special larger cylinder “coffin” specially designed for this type of situation. This coffin may then be moved to a location where it can be connected to a scrubber and safely vented. This type of operation should only be performed by an emergency response team trained in using this type of equipment. There is also a special mobile emergency scrubber that can be transported to the site of the leak. The cylinder can be placed inside a special gas cabinet that will safely abate the leak through a dry scrubber while the leak is being repaired. If the leak cannot be repaired, this special scrubber has a second wet scrubbing stage that can neutralize the entire contents of the cylinder if this becomes necessary. In the case of an emergency situation, it may be necessary to move the cylinder outside or to a different location to vent its contents. If this is the
Gases and Gas Equipment 491 case, the following emergency procedures should be performed as a minimum. Many companies have their own more specific procedures to deal with this type of situation. 1. Warn all personnel required to evacuate an area. 2. Use a safety crew that is properly trained in handling the specific type of gas. 3. Self-contained breathing apparatus must be used when handling toxic or asphyxiating gases. 4. Shut off all electrical power and remove all sources of ignition (heat, sparks, etc.) from the area to prevent ignition of a leaking flammable gas. 5. Determine the shortest and the safest route to the point of gas disposal. 6. Transport the cylinder in a the safest and quickest manner, such as using a hand truck. 7. Post the area where the cylinder is venting and restrict access to this area by unauthorized personnel. 8. All areas must be adequately vented before restoration of power and resumption of normal activity.
3.10 Transportation and Storage Whenever the gas cylinder is being moved, the valve protection cap should be in place. The cylinder should be transported using a handtruck that is designed to safely hold it, even if only moving the cylinder for a short distance. The cylinder should be secured to the hand truck using a strap or a chain. The person moving the cylinder should wear all necessary safety apparel such as, but not limited to, safety goggles or face shield, gloves, and safety shoes. Special containers may be used in conjunction with a forklift truck to transport large numbers of cylinders at the same time. Never lift the cylinder by the cap, or try to drag or slide the cylinder. Ideally cylinders should be stored in a detached building that is either open-sided or provides good ventilation. In addition to providing a large ventilation capacity, the storage building or area should be fire resistant, dry, and located well away from sources of heat or ignition. Electrical equipment inside the storage area should be well grounded. The cylinder
492 Semiconductor Safety Handbook storage area should be away from staircases, elevators, or major routes of traffic. Outdoor storage locations should have proper drainage and be covered to protect cylinders from the elements and from the direct rays of the sun, especially in the warmer climates. All gas cylinders should be stored in locations where temperatures do not exceed 125°F. Gas cylinders should also be protected from very low temperatures (-20°F) since many are constructed of the type of steel that loses impactresistance at very low temperatures. The names of the gases being stored should be prominently posted at the storage locations. The storage area should be secure to prevent vandalism or tampering by unauthorized personnel. There are federal, state, and local regulations that must be followed when storing gas cylinders. Also the recommendations of the National Fire Protection Association (NFPA) and the CGA should be followed. Many of these regulations deal with the specific hazards of the particular gases stored. In general, corrosive gases should not be stored for a long periods of time (more than six months). Other gases such as diborane require refrigerated storage to prevent degradation of the gas. Limited storage quantities are permitted on some of the flammable and toxic gases, especially when storing indoors. Storage of some gases, such as hydrogen, require special building construction with explosion-relief venting and explosion-proof wiring. Storage of flammable gases require noncombustible walls or partitions from floor to ceiling and sprinkler systems as required by codes. Stored cylinders should always be secured upright by chaining them in place or placing them in partitioned cells. Storage areas should be arranged so that the cylinders can be used in the order in which they are received from the vendor. Different groups of gases should be stored in separate locations; for example, never store flammable gases near oxidizing gases. Empty cylinders should always be stored separate from full ones to prevent accidental connection of an empty cylinder to a pressurized system and the resulting potentially serious suck-back that can occur. Materials that are flammable should never be stored in the same location as gas cylinders. Toxic gas storage areas should have leak detection and alarm systems. Toxic gases may also require special venting and scrubbing systems to safely contain any leaks. Never store toxic or corrosive gases in quantities that exceed immediate needs. Any locations where gases are stored or used should have fire extinguishers, eye baths, safety showers, and gas masks nearby, but not in the area that would be immediately contaminated if a gas leak developed.
Gases and Gas Equipment 493 3.11 Safe Use of the Cylinder Once a gas cylinder has arrived at the location where it is to be used, it should immediately be secured to a firm support by a strap or chain. If the gas being used is hazardous then it should be secured inside a gas cabinet to increase safety. Gas cabinets are discussed later in this chapter. Gas cylinders in use should also be properly grounded, especially flammable gases. Before actually using the gas, read all label information and data sheets associated with that gas, and make sure that all safety precautions are observed. The user should wear all necessary safety apparel such as, but not limited to, safety goggles or face shield, gloves, and safety shoes. Selfcontained breathing apparatus should be immediately available to those people working with toxic gases. After securing the cylinder, the cylinder cap can be removed to expose the cylinder valve. Usually a new cylinder will have a dust plug installed in the valve outlet. This plug can be removed after checking to make sure that the cylinder valve is in the closed position. The equipment to which gas will be supplied can now be attached to the cylinder valve by connecting the CGA connection attached to the equipment to its mating connection on the cylinder valve. The nipple on the connection should be inserted into the valve outlet and the swivel nut should be rotated in the proper direction to engage the threads on the cylinder valve. After turning the nut until it is hand-tight, a wrench should be employed to provide final tightening. Always prevent the nipple of the connection from rotating while final tightening of the connection occurs, to avoid undue strain on the piping components attached to the connection. Make sure that the proper gaskets are used where applicable. Avoid overtightening of the connection and never use teflon tape, pipe dope, or any other type of thread sealant to facilitate the sealing of a CGA connection. Before discharging the contents of the cylinder, it is important to check that the connection to the cylinder valve is leak-tight. Many gases will also require purging the air that remains inside the cylinder connection to insure safety and process purity. These operations require special valve arrangements or purge systems. All equipment connected to the cylinder should be carefully leakchecked prior to use and all materials in the system should be compatible with the gas being used. The cylinder valve should be opened very slowly to gradually introduce pressure into the system. Some cylinders come with cylinder valves that can be actuated from a remote location, using a source
494 Semiconductor Safety Handbook of pneumatic pressure. If there is any indication of a leak when opening the cylinder, the cylinder valve should immediately be closed until the system has been checked out and the leak repaired. After the cylinder has been used, the equipment can be disconnected, after first closing the cylinder valve and performing any purge procedures necessary for safety. It is preferable to leave some positive pressure inside the cylinder to help prevent atmospheric intrusion. Always replace any valve outlet plugs and the cylinder cap supplied with the cylinder. Mark or label the cylinder “empty” or use a standard DOT “empty” label before returning the cylinder to the supplier.
4.0
GENERAL GAS HANDLING EQUIPMENT
4.1 Pressure Regulators A pressure regulator reduces the pressure of the gas flowing through it and maintains an almost constant pressure downstream of the regulator, within certain limits determined by flow and upstream pressure. Usually the regulator is a purely mechanical device that has an internal valve that is opened and closed by the counteracting forces of the gases delivery pressure acting on one side of a diaphragm or piston and a external spring force acting on the other side. When the regulator’s set pressure is obtained, this pressure exerts a force on the diaphragm or piston to deflect it and allow the poppet valve to close. When the delivery pressure falls below the set value, then the external spring force deflects the diaphragm or piston in the opposite direction allowing the poppet valve to open and allow more gas through the regulator with the corresponding increase in downstream pressure. The external spring can be adjusted so it exerts no pressure on the diaphragm, and no gas will flow through the regulator. Most gas regulators used on semiconductor systems are either singlestage or two-stage types, with these two types having a number of variations. Regulators may have a direct-acting seat that closes in the opposite direction of the flow through the regulator nozzle. However, most regulators in common use today have an inverse seat design in which the poppet valve seat closes in the same direction as the flow through the regulator nozzle. This design uses the higher pressure behind the poppet valve to provide extra closing force as the upstream pressure increases. Regulators may have tied or untied seats. In the tied-seat design, the poppet is actually
Gases and Gas Equipment 495 attached to the diaphragm and an increase in delivery pressure beyond the setpoint provides extra closing force for the poppet valve. The additional safety provided by this design is especially important when using hazardous gases. Also, some gases such as silane may produce particles when they come in contact with air. These particles may lodge in the seat and prevent the regulator valve from closing, leading to a hazardous overpressure situation downstream. The tied-seat regulator may provide enough closing force, in this case, to deform the soft seat material enough to seal. The two-stage regulator allows for a better control of downstream pressure, with less fluctuation when a change in inlet pressure occurs. Since two stages of pressure regulation in series is provided, an additional margin of safety is also realized since it is less likely that both stages would fail at the same time. Some two-stage regulators cannot operate however, if the first stage of regulation is the one to fail. When evaluating regulators for reliability and performance, the following criteria are used. • Pressure regulation as a function of flow. All regulators have some delivery pressure drop with increased flowrate. The smaller the drop as flow is increased, the better the performance. • Pressure regulation as a function of inlet pressure. As the inlet pressure source is reduced, regulator delivery pressure may either rise or fall depending upon the regulator design. In both cases, this is known as regulator “droop.” Two-stage regulators generally provide better regulation under these circumstances. • The “lockup” of a regulator. This is the difference in pressure between a flow and no-flow condition. If a regulator has its delivery pressure set while gas is flowing, then flow is suddenly stopped, a small rise in delivery pressure “lockup” will occur before the regulator’s valve closes fully. The lower the lockup, the better the performance. • The seat leakage of the regulator. This is the tendency of the regulator to leak across the seat with the regulator valve closed fully and high pressure upstream of the valve. A low leakage value is preferred. • The leakage rate across the diaphragm or fittings on the regulator. This value is normally measured using helium gas
496 Semiconductor Safety Handbook and a mass spectrometer or other type of helium detector. Regulators for semiconductor gas service will have published values of typical leakage rates either inboard, from the atmosphere into the regulator, or outboard, from inside the regulator to the atmosphere. For safety it is important that this leak rate value be as low as possible to prevent possible escape of hazardous gases, or intrusion of air into the regulator resulting in possible detrimental chemical reactions. When choosing a regulator for a particular gas or system, the user may contact a specialty gas company for recommendations. There are other important factors to be considered when using a regulator for a particular application: • The pressure of the gas should be considered. Some regulators are designed for lower pressures and should not be connected to sources of pressure greater than that for which they are rated. Even if the regulator body has an adequate pressure rating, always check to make sure all gauges and other equipment attached to the regulator are also designed for the pressure. • The regulator’s materials of construction are important. Consideration should be given to the gas being used and the requirements of the system. Many regulators designed for controlling gases used in semiconductor processes are made of low tensile strength stainless steel (usually type 316 or 316L) This material displays good corrosion resistance and is not prone to hydrogen embrittlement problems. If an inert gas is being used and the system purity requirements allow, brass regulators may be used. Brass is attacked by many of the corrosive gases, and even stainless steel can be corroded when exposed to some of the corrosive gases with small amounts of water present. For severe corrosive conditions, Monel may be used, or since Monel contains copper which may be undesirable for some processes, Hastelloy (registered trademark of Haynes International) may be the material of choice. Regulators may also be plated with corrosion resistant coatings when controlling some gases. Also important is the soft plastic materials, or elastomers, used in regulators for the seat and other sealing surfaces. For example, some regulators use an elastomer called Viton which is a fluorocarbon. Viton is compatible with many gases, but some gases like ammonia will cause it to dissolve. Also
Gases and Gas Equipment 497 some materials are compatible at certain temperatures and not at others. When choosing a regulator for a particular gas, all parts inside the regulator should be compatible with that gas under the conditions it will be used. • The cleaning done on the regulator prior to use is important. Most regulators used in semiconductor applications are cleaned to very tight specifications to match the purity needs of the process. If oil or other combustible residue is left inside the regulator, spontaneous combustion may result when certain gases, such as oxidizers, are introduced into the regulator. It is important that regulators come extremely clean from the manufacturer, and remain that way until used. • For maximum safety, regulators should be segregated for a particular gas service. Once a regulator is used for one gas, it should not be used for any other gas unless it has been thoroughly cleaned, or the operator is absolutely sure that using the regulator in this manner presents no hazard. For example, if a regulator was used on an oxidizer, then placed in flammable gas service, an explosion could result. • Always follow the manufacturers instructions and warnings when installing, operating, purging, or shutting down a pressure regulator. NOTE: The above considerations apply to all the gas handling equipment discussed in this section, not just regulators.
4.2
Valves
Shutoff and Regulating Valves. There are many different types of valves that may be used in semiconductor gas supply systems. One of the most common is the diaphragm type valve. This valve operates like the diaphragm cylinder valve described in the previous section. The tight seal around the edge of the metal diaphragm provides an extremely low leak rate to atmosphere when compared to some other types of valves. Usually the diaphragm valve is flow-limited, since the valve seat does not lift as high as some valves due to the limited travel the diaphragm allows. Packed valves similar to the cylinder valve previously described, may also be used. They have an advantage over diaphragm valves when regulating flow since regulating stem tips (seats) may be used with very fine threads on the stem to allow for precise flow control. The packed design
498 Semiconductor Safety Handbook however, cannot provide leakage rates as low as those provided by the diaphragm type valves. For higher flow application ball or plug type valves may be used. The ball valve’s handwheel is attached to a stem that is attached to a rotating sphere that is encased in a sealing material. There is a passage drilled through the ball that allows flow through the valve when the handwheel is turned to a certain position. The plug valve operates in a similar fashion, except a cylindrical plug is rotated to allow flow through diametrically through the plug. These also have a packing on the stem and the resulting higher leakage rates. Also the ball or plug rubbing against the sealing surfaces creates many particles that is undesirable in semiconductor gas systems. Valve Blocks. Often multiple valves will be combined in a single assembly, to facilitate purging or venting operations. These valve blocks may have the valves machined into a single piece of metal or may have valves assembled together by welding or some other means of attachment. Often they are used ahead of the regulator to allow flushing of the regulator or the high pressure cylinder connection with an inert gas. These purge assemblies allow the high pressure side to be purged of hazardous gases that could be released when the cylinder attachment is disconnected. Similarly, when using a pyrophoric gas such as silane, the air inside the regulator must first be removed, or the silane could ignite when exposed to oxygen. The ultimate in purge assembly safety is provided by the gas panel, a topic discussed in a subsequent section. Check Valves. Check valves are used in gas lines where it is important to assure that gas can only flow in one direction. They are not recommended for use as the primary means of shutoff but as a backup should the primary shutoff valve fail. They are commonly used in systems on the purge gas inlet line to assure that process gas will not back up into the purge gas supply. This is especially important if a common purge gas reservoir supplies many gas delivery systems. In some systems, many different gases may be vented into a common header. If this is the case, separate check valves should be installed on the vent lines for the separate gases to assure they will not be able to mix in the system. Check valves can also be used on the process gas delivery line to prevent any backup of gas from the process into the gas supply system. They can also be placed directly after the cylinder to prevent any backup and contamination of the cylinder.
Gases and Gas Equipment 499 Many check valves are only designed to seal bubble tight and not provide a high leak integrity. Parts inside check valves are subject to wear and check valves should be checked frequently for proper operation. Relief Valves. Relief valves provide overpressure protection for semiconductor gas systems. Most relief valves have a poppet valve seat that is backed by a spring that opposes the gas pressure. When overpressure occurs the spring force is overcome and the excess pressure is vented. Relief valves can be used to protect components downstream of the regulator from damage, should regulator failure occur. They should be sized to handle the entire flow rate they could possibly have to vent at a pressure below the maximum pressure to which the system should be exposed. Many relief valves, once opened by pressure, are designed to reseat when the pressure drops below the set value. Once the condition causing the relief valve to open has been corrected, the relief valve should always be checked for proper pressure setting and sealing. Relief valve outlets should always be piped into venting systems appropriate for the gas being handled. Sometimes faulty relief valves allow small amounts of process gas to pass into the vent, going undetected for long periods of time causing premature depletion of cylinder contents. Also exposure to corrosive or pyrophoric gases may cause improper relief valve operation. Because of the critical nature of this device, it should be checked frequently for proper operation. Relief valves supplied as a part of gas delivery systems should never be relied upon to protect pressure sensitive equipment downstream from the gas delivery system. Excess Flow Valve. The excess-flow valve is designed to interrupt gas flow if a certain flowrate is exceeded. It is an important safety device that can stop gas from flowing should a leak occur. It is usually available for a number of different flow ranges depending on user requirements. Its position in the system is very important regarding safety. The closer it is to the gas cylinder, the more protection it provides. Ideally it should be the first component the gas flows through when leaving the cylinder. This way, it will close should a leak of sufficient proportion develop anywhere downstream. Most excess-flow valves have two positions, one the normal operating position when the excess-flow feature is activated, and the other a bypass position to allow greater flow to pass, used during start-up and purging operations. For maximum safety, the excess-flow valve must be in the excess-flow position whenever delivering a hazardous process gas.
500 Semiconductor Safety Handbook 4.3
Pressure Sensors
Pressure gauges are commonly used in systems to monitor that pressures are being maintained at a safe level. It is important that all pressure gauges in the same system indicate pressure using the same units, to prevent mistakes in reading them. Most pressure gauges use a rotating pointer that is linked to a thin curved tube (called a bourdon tube) that deflects with an increase in internal pressure, to indicate the pressure value. Other gauges may use a diaphragm that deflects the pointer. In both cases, the pressure gauge may be the weakest part of the system with respect to burst pressure. The thin metal in the diaphragm or bourdon tube may also be eaten through if corrosive chemicals attack them. Because of these factors, many pressure gauges are constructed with a solid front dial face but some type of blowout plug on the back or bottom so that parts will not be thrown if the gauge should burst. While most gauges will take some overpressurization without bursting, the gauge reading may not be accurate after it has experienced overpressure. Fluctuations in temperature can also cause these types of gauges to give inaccurate readings. Semiconductor gas supply systems today are using more electronic transducers and transmitters to monitor system pressure. In addition to being able to provide a cleaner system for the process, the transducer can provide a signal to a control system that can then provide feedback to assure closer control of process pressure and immediate system shutdown should overpressure be detected. Most transducers generate a low level electronic signal proportional to the pressure applied to its sensing element. This sensing element is usually a diaphragm with strain gauge bonded on the external side. When pressure deflects the diaphragm, the resistance changes in the strain gauge, causing a change in the proportional voltage in the part of a circuit that includes the strain gauge. Pressure transmitters are similar to transducers except the low level signal is usually conditioned to supply a 4–20 mA signal or a higher level voltage signal for transmission. Besides the strain gauge type transducer, other pressure transducers may employ variable capacitance, variable inductance, or piezoelectric crystal sensors to detect pressure changes. The low level output pressure transducer can be used in systems controlling flammable and other hazardous gases if the system has been designed such that the electronic power levels being used to excite the transducer remain very low. They can even be used in Class I, Division II areas if the proper intrinsic safety barriers are used in conjunction. Like the pressure gauge, the transducer may have a
Gases and Gas Equipment 501 lower burst pressure than other components in the gas system. The safest transducer designs have the area behind the diaphragm hermetically sealed and capable of withstanding greater than full design pressure, should the diaphragm burst or corrode through. Pressure switches are also widely used to monitor and control pressure in semiconductor gas delivery systems. These can be used to switch an electric signal when a preset pressure is reached. They can be used to detect an overpressure situation, such as regulator failure, or they can detect an underpressure condition, such as a gas cylinder being depleted of contents. Many switches are very similar in construction to pressure gauges, having a bourdon tube that deflects a switch toggle instead of a gauge pointer. Indicating pressure switches provide both pressure indication and the switching function. Switches installed into areas classified as hazardous, should either be explosion proof or used to switch very low electronic signals within the restraints of code directives.
4.4
Flow Meters
Electronic mass flow meters provide an accurate and convenient way to measure flows of almost any gas. They have advantages over other types of flow meters since they can operate over a wide range of pressures and temperatures, with the internal electronics providing automatic compensation. If they are to be used in hazardous gas service, they can easily be calibrated using gases that are nonhazardous first. The design of the mass flowmeter allows it to be easily installed in a gas line with very little restriction of the gas flow and to attain very low leakage rates to atmosphere. Most mass flowmeters provide an output signal proportional to the flowrate of gas, making them easy to connect to systems that may monitor or record gas flow rates. Mass flowmeters are often coupled with integral control valves in units called mass flow controllers. These devices adjust the valve position based on the feedback signal from the mass flowmeter allowing fast and accurate adjustments of flowrates. A typical mass flowmeter determines flowrate by taking a small percentage of the total gas flow into a sidestream where it is heated. The temperature of the gas is measured before and after heating and from this, the internal electronics are able to determine how much gas is flowing through the sidestream and hence the total flow. A greater mass flowrate will result in a lower temperature rise. Since these devices do consume significant amounts of electric power, and internal component temperatures may be higher than the flash points of
502 Semiconductor Safety Handbook some gases, it is difficult to have this type of flowmeter installed into areas with hazardous ratings. By completely enclosing and purging the electronics, using the proper safety barriers, and installing the metering section into double containment, it may be possible to meet criteria for installation in hazardous areas. Another type of flowmeter commonly used is the rotameter. Less expensive than the mass flowmeter, this purely mechanical device has some limitations in application. A rotameter consists of a clear tube that has a tapered flowpath on the inside diameter, with the flow area increasing from bottom to top. The tube is installed in the vertical position and there is a ball or float inside the tube that is forced up as gas flows through the meter. The larger the flowrate the higher the float will rise. Since the tube is usually constructed of either glass or plastic, there are limits to the pressures and temperatures to which this device may be safely subjected. Flow readings are usually made manually by comparing the float position against a scale printed on the tube. The flow readings are very sensitive to pressure and temperature fluctuations requiring compensatory calculations to determine actual flowrate. If the rotameter cannot be calibrated using the gas it is being used with, then other factors such as viscosity may also have to be taken into account when making flow calculations. The design of many rotameters does not allow the high leak rate integrity necessary when using many semiconductor gases.
4.5 Filters and Purifiers A filter will remove particles from a gas without changing the chemical composition of that gas. A purifier on the other hand, will remove chemical impurities from a gas. In many semiconductor processes these impurities are water vapor, oxygen, and hydrocarbon gases. Both filters and purifiers may be difficult to purge adequately so extra purging my be necessary for their safe use. There are many different types of filters available having either porous metal, plastic, or ceramic filter elements. Some filter housings are designed to withstand full cylinder pressure, but some have lower pressure ratings, so care must be taken not to overpressurize the housing, as sometimes this is the system component having the lowest burst pressure. Some in-line purifiers also have low burst pressures so attention should always be given to pressure ratings and appropriate relief devices
Gases and Gas Equipment 503 used in case of component failure. Extra precautions have to be taken when using purifiers since they often involve a chemical reaction that may produce heat. Other purifiers have heaters built in to speed up the catalytic reaction occurring inside them. Many purifiers are specifically made to purify one or a small group of gases, and exposing the purifying bed to the wrong gas could cause serious problems. Purifiers used incorrectly have been known to melt or explode.
4.6
Vacuum Generators
In some gas supply systems it may be necessary to provide a vacuum for purposes of purging or evacuating lines. This can be done using a vacuum pump. A vacuum pump, depending on its design and application can pull a very strong vacuum on a system, and in the process pull gas out of all the crevices and porous components where it has collected over time. When using a vacuum pump, consideration should be given to the gas being evacuated and the possible effect that may occur when it is exhausted from the pump. For example a pyrophoric gas exhausting to an atmospheric vent could cause a serious fire or explosion. A venturi eductor can also be used to create a vacuum on gas supply systems. This device uses an inert gas, such as nitrogen or argon, as the motive fluid flowing through a converging-diverging nozzle to create a vacuum on the downstream side of the nozzle. The vacuum this venturi creates is not as strong as the vacuum from a pump, but it provides a low cost means to satisfactorily purge hazardous gas lines. Since the motive fluid travels at sonic velocities through the nozzle section, and may carry hazardous gases, the outlet from the venturi should be piped directly into an appropriate vent. The venturi can also be used to dilute the hazardous gas before going to a treatment system.
5.0
CYLINDER GAS DELIVERY SYSTEMS
5.1
Enclosures (Gas Cabinets, Gas Storage Rooms, Etc.)
Gases that are hazardous should always be stored and dispensed from some type of enclosure. Gas cabinets are used in many semiconductor fabrication plants. In general, gas cabinets are required to be constructed from steel that has a minimum 12 gauge thickness. They also must
504 Semiconductor Safety Handbook have self closing and latching doors and access ports. Cabinets must have sprinkler systems installed and in most jurisdictions there are limits on the amount of hazardous gas that can be stored in one cabinet. Gases that are incompatible with each other should not be stored in the same cabinet. Most gas cabinet have an air inlet at the bottom and a vent stack at the top that is designed to be connected to a ventilation system that will pull a constant air flow up through the cabinet, and transport that flow to a treatment system. The ventilation system should be strong enough to provide a minimum average air velocity through the access port of at least 200 feet per minute, and the system should operate continuously and should not recirculate air back toward the cabinet. Once the cylinder has been securely installed in the cabinet, all further procedures required to be performed inside the cabinet should be performed by the operator reaching through the access port, not through the open door. If a leak should develop at this time, the flow of air through the cabinet should take most of the leaking gas up through the vent stack. The treatment system to which the gas is directed should be able to reduce its concentration to a level that is one half of the range that the National Institute of Safety and Health (NIOSH) has determined is Immediately Dangerous to Life and Health (IDLH). If highly toxic or flammable gases are stored inside without gas cabinets, they are usually required to be stored in a separate, gas storage room, where no other occupancies are permitted. This room should have its own ventilation, also directed to a gas treatment system that will abate any hazardous release. If pyrophoric gases are stored then smoke detection should be provided. The ventilation system must cause the pressure in the room to be less than atmospheric, to prevent any escape of gas from the room. The room should be constructed so that an explosion will be allowed to relieve pressure, usually in one direction, while the rest of the room structure remains intact. It is often required to have at least one cabinet on site, so that any leaking cylinders can be safely isolated. Some highly flammable, or pyrophoric gases, such as silane, or highly toxic gases are sometimes stored outside of the building in specially designed enclosures, sometimes referred to as bunkers, from which they are distributed. These are required to be at least 75 feet from buildings, streets, or the property line and also more than 75 feet from any air intake. This is often done at increased expense, but these types of structures are designed to handle a violent explosion with the least amount of property damage or threat to human life.
Gases and Gas Equipment 505 Another type of enclosure that is often used is the small valve box, often installed near the point of use. This box, usually constructed of steel, may contain one or more regulators or valves and provides an increased level of safety should the local distribution components contained inside the box fail. The codes and regulations governing semiconductor gas facilities include sections of the Uniform Building Code (UBC), sections of the Uniform Fire Code (UFC), the National Electrical Code (NEC), codes of the National Fire Protection Association (NFPA), and various local and state ordinances such as the Toxic Gas Model Ordinance that has been adopted in California. Many semiconductor gas storage room or rooms from which gas is dispensed are considered Hazardous Production Material (HPM) storage rooms depending on the amounts and types of gases contained inside them. The UFC Article 80 deals most directly with specific requirements for storage and use of gaseous chemicals.
5.2
Gas Panels
The various gas handling components that were discussed previously are often combined into a single gas panel. The gas panel combines the functions of pressure regulation, purge sequencing, flow and pressure monitoring and control into a single unit. The gas panel is most often installed inside a gas cabinet, especially when hazardous gases are being used. By the arranging the components into a single unit, the result is often a smaller and safer design. Components are mounted securely to a panel where there is less chance of being damaged. There are often fewer potential leak areas especially when welded connections are used. The gas panel, on which the regulator, valves, gauges and other components are mounted, is usually mounted above the cylinder. The panel inlet is connected to the cylinder by a flexible connector, often referred to as a pigtail because of it’s characteristic spiral shape. On semiconductor gas cylinder panels this pigtail is usually made from 1/4" or 1/8" OD stainless steel tubing. This tubing is formed into a shape where it can be connected easily to the cylinder, or moved out of the way when changing cylinders. Whenever the pigtail is moved it should be done as carefully as possible so as not to introduce any new bending to its shape. By continuously bending pigtails to the point of yielding the metal, the metal may be “cold worked”
506 Semiconductor Safety Handbook and become brittle. The pigtail may be a single line between the panel and cylinder, or it may be double line, where one line is to transport process gas and the other line is to introduce the inert purge gas as close to the CGA connection as possible. The dual pigtail design usually does not allow the use of a single emergency shutoff valve. When the design allows the purge gas to be directly injected into the CGA connection, this is called a “deep purge.” Other types of pigtails include stainless steel flexible hose and teflon lined flexible hose. Valve placement is very important in the panel design. Emergency shutoff valves and excess-flow valves should be installed as close to the cylinder as possible, to give protection should the panel itself leak. It is advised that check valves be used to back up any critical valves, such as the purge gas supply valve, to protect the purge source. When using a common vent for a number of different gases, it is best to also use check valves as a backup to the vent valves should they fail and allow gases from the vent to contaminate the panel. When using extremely hazardous gases, it is advised that a separate cylinder purge gas source be employed, not the same inert source as used elsewhere in the plant, since only one cylinder would be affected if process gas should accidentally backfill a cylinder. The most convenient designs have the process gas panels and their associated purge gas panel all in the same cabinet. There are many different designs of gas panels, with some of the features that follow. • Regulator isolation valve. Usually there is a valve upstream of the regulator, that allows the high pressure side of the panel and the delivery pressure side of the panel to be isolated from each other. • High pressure side vent valve. This allows the panel upstream from the regulator to be directly vented. Before opening the high pressure vent valve, it is extremely important for the cylinder valve to be closed. • Low (delivery) pressure side vent valve. Opening the low pressure side vent valve allows the entire panel to be vented as long as the regulator and any applicable valves are open.
Gases and Gas Equipment 507 • Low (delivery) pressure process valve. This valve shuts off flow of gas to the process, usually at the panel outlet. • Pressure regulator. Many panels have this feature, but panels controlling gas having very low pressure may not. Some gases are withdrawn from the cylinder using vacuum where other gases are transported by a carrier gas that is bubbled through the process chemical’s liquefied state. • Vacuum eductor. Often this vacuum generator, usually a venturi, is installed on the panel and used during purge sequences. • Inert gas purge valve. Opening this valve allows introduction of the purge gas into the panel, often on the high pressure side. • Emergency shutoff valve. This valve is usually automatic and will shut off the cylinder source when tripped. • Excess flow valve. These were explained previously. • Relief valve. This component is usually connected to the vent and is very important should the regulator fail. On panels having these features, the panel can be purged on the high pressure side only, by closing the isolation valve before purging. For safety reasons it is often recommended that the low pressure side also be purged to insure there is no gas inside the panel when the operator exchanges the cylinder, and to help remove moisture from corrosive gas panels. The panels with the greatest leak integrity are welded together entirely. Often this is not desirable since components such as valves and regulators may have to be replaced. Use of vacuum type face-seal connections with metal sealing washers are often used to achieve good leak integrity, and ease of assembly operation. Components installed on this type of panel often have these face-seal connections welded directly on them to minimize the points of potential leaks. Whenever components with welded connections are used, it is important that no strains be imposed on the weld areas. Manufacturers’ instructions should always be followed when operating the panel or replacing components.
508 Semiconductor Safety Handbook 5.3
System Monitoring
A gas cabinet system should monitor the parameters necessary to insure efficient and safe delivery of gas to the process. The gas pressure is always monitored on both the high and low pressure sides of the regulator. Either gauges directly attached to the panel, or transducers/transmitters with a local and/or remote readout are usually used. When using compressed gases, the pressure upstream of the regulator is an indication of the remaining contents of the cylinder. This pressure should be monitored regularly to allow sufficient time for cylinder changes before the gas is completely gone. The use of an alarm when cylinder pressure falls below a certain point is common. Most gas users should not deplete the cylinder fully, but instead allow a small amount of pressure to remain in the cylinder being disconnected. Usually the process tool requires a certain pressure, and higher pressures than those required may be dangerous. For this reason, the delivery pressure should always be monitored and the use of an overpressure alarm is recommended. The gases that are liquefied in the cylinder should also be monitored for cylinder pressure, however the cylinder pressure will not be a good indication of the remaining contents. A cylinder scale must be used with these gases. The cylinder tag will show the net contents of the cylinder in weight. If it is found that the cylinder weight as indicated by the scale reading significantly exceeds the calculated gross weight, which is the net weight of product plus the tare weight of the cylinder, this may indicate an overfilled cylinder, an extremely dangerous situation. A cylinder such as this should be kept as cool as possible until the supplier is notified and it is returned. Many gas users do not empty these cylinders completely, but will leave a small amount of weight in the cylinder when disconnecting them, because it is often the gas in the bottom of the cylinder that contains the greatest amounts of impurities of a sedimentary nature. Gas cylinder temperatures are sometimes monitored during operation. Some liquefied gases require a large amount of heat to vaporize and if large flow rates are maintained, the regulator or other parts of the system may get extremely cold causing ice to form on components. This “frosting” can also cause the pressure regulator to operate erratically. Other gases may be required to be chilled, such as diborane which decomposes at a rate proportional to its temperature. Some gases such as boron trichloride are sometimes kept in a narrow temperature range to promote efficient withdrawal from the cylinder. All gases stored in cylinders may be dangerous
Gases and Gas Equipment 509 when exposed to high temperatures. In cases such as these, where the temperature of the cylinder may be critical, the interior of the gas cabinet or the cylinder itself should be monitored for temperature. There are many types of temperature monitoring systems, with the most common ones being based on thermocouple or resistance temperature detector types. Many cylinders have safety devices that melt at around 140° F, and fire sprinklers will sometimes have fusible plugs that melt around 135°F. A temperature monitor may warn operators of a dangerous situation before either of these fusible devices respond. While heat may indicate that a fire is in progress, often monitoring this one parameter is not sufficient to insure fire safety, since localized fires may not excite the heat sensor. Instrumentation is available to monitor the cylinder cabinet for smoke, ultraviolet, or infrared radiation. Use of multiple types of fire detectors provides the most protection in all types of situations. A semiconductor process tool usually requires a known flow of a certain type of gas to for it to operate properly. This makes it easy to know when an upset condition, resulting in increased or decreased flow, occurs by monitoring the flow rate of process gas. This is sometimes done using electronic mass flowmeters, or rotameters, both of which were described earlier. Since the gas cabinet ventilation exhaust is the first line of defense against a gas leak, it is extremely important that this parameter be monitored. Since gas cabinets are required to be operated at a negative pressure with respect to the surroundings, the simplest way to assure cabinet exhaust flow is by measuring the differential pressure between the interior and exterior of the gas cabinet. Often an indicating differential pressure gauge is mounted to the cabinet that will indicate the negative pressure inside the cabinet in inches of water column. A negative pressure of somewhere between -0.1 to -0.3 inches of water is typical to obtain a strong steady flow through the exhaust stack. Other airflow instrumentation is available, including those based on thermal mass flow and anemometry. It is often necessary to monitor for gas leaks inside the gas cabinet or in the surrounding area. Sensors to monitor semiconductor gases are available based on a number of different technologies. Some of the types include solid state sensors, electrochemical sensors, and paper tape sensors that draw a sample from the sensing area to a remote location to react with chemicals on the tape. No one type of sensor is ideal for all types of gases. Gas detection is explained more fully in a separate section.
510 Semiconductor Safety Handbook There are a number of automatic monitors available that combine a number of the functions mentioned above into a single unit. These are sometimes connected to the panel’s emergency shutoff valve or plant alarm systems, and will usually allow the operator to shut off the cabinet/panel by depressing an emergency shutoff button. For more extensive system control, the automatic gas cabinet controller, explained in the next section, should be used.
5.4
System Control
One major function of the automatic gas cabinet controller is to provide automatic valve sequencing for the various modes of control. Sequencing the valves into the normal process supply mode and into the shutdown mode are two important functions. The automatic gas cabinet controller assures these process are performed in the same manner every time. Purging the panel of process gas before the cylinder exchange and purging the panel of air after the new cylinder has been attached are two more of the important functions that automatic controllers provide. The automatic controller assures that all of the steps for this function are performed. Purging a gas panel often involves a long sequence of repetitious steps. The most common type of purge sequence involves first evacuating the process gas in the panel to the vent, often using a vacuum system to more effectively evacuate the panel. This step is followed by introducing an inert gas into the panel to dilute the remaining process gas. These steps are repeated many times in sequence, each cycle reducing the residual process gas remaining in the panel. While an operator could perform the same steps as the automatic controller, the operator is more prone to mistakes, and it is always possible that a person in a hurry may decide to take shortcuts and may not perform all the steps necessary. For these reasons, an automatic controller provides for more safe and efficient panel operation under most circumstances. During these various modes of control, the automatic gas cabinet controller should monitor the pressures in the panel providing an alarm and shutdown should the controller determine that one of the monitored parameters is not correct. The controller may be able to detect a leak, such as one caused by improper tightening of the CGA cylinder connection. The inert gas used to purge the panel should be monitored for sufficient pressure level and, if a vacuum system is used in conjunction, to
Gases and Gas Equipment 511 enhance the purge, the vacuum level should also be monitored. Improper levels for either inert purge gas pressure or evacuation vacuum could cause the panel not to be purged of hazardous gas completely. Flow monitoring devices can be connected to the automatic gas cabinet controller system, with the controller shutting down the gas panel when the flow deviates from the normal range. In addition, the automatic controller may have mass flow controllers incorporated into its control scheme to provide precise process supply. Sometimes flow switches are used that will provide a signal to the controller if flow goes either too high or too low. If a leak occurred, the flow rate would probably rise, and any of these devices could signal the controller to shutoff the flow of gas close to the source, helping to contain any hazard. Process gas is not the only flow that the controller can monitor for control purposes. Cabinet ventilation flow is also an important parameter and the automatic controller usually monitors this parameter and will shutdown the cabinet system if the air flow through the cabinet is too small. Other signals that should shutdown the entire cabinet include, fire, heat, smoke, or flame detected inside the cabinet, or gas leak detected inside the cabinet. Often cabinet control systems are designed to shutdown if any of these situations occur in the nearby area, or if a separate safety monitoring system sends the cabinet a signal to do so. When using certain gases, it is desirable to maintain them at a set temperature for reasons related to the process and for safety. To do this, there are a number of different types of chillers and heaters. Circulating temperature controllers, use a temperature controlled liquid that is circulated through clam shell type jackets or coils placed around the cylinder. This type of system can have the actual control unit in a remote location and can easily be used in areas classified as hazardous. Another type controls the temperature of the air that is circulated through the cabinet. The air either transfers to or absorbs heat from the cylinder. Also available to heat cylinders are electrical resistance type devices. Some of these electrical devices cannot be installed into areas classified as hazardous. Automatic controllers can also provide security for gas delivery systems. Some controllers limit the operator’s access to their functions by use of passwords. Since many of the operations should only be performed by trained personnel, this type of system may prevent unwanted tampering by unauthorized people.
512 Semiconductor Safety Handbook 6.0
GAS CANDIDATES
6.1
Ammonia Chemical Name
Ammonia
Synonyms
Anhydrous ammonia
Chemical Formula
NH3
CAS number
7664-41-7
Molecular Weight
17.03
Boiling point
-33.3°C
Melting point
-77.7°C
Triple point temperature
-77.7°C
Triple point pressure
0.06 atm
Vapor pressure (21.1°C)
8.76 atm
Absolute density (25°C)
0.706 g/l
Relative density
0.597 (Air = 1)
Critical temperature
133°C
Critical pressure
112.7 atm
Critical volume
0.0724 l/g mole
Critical density
13.8 gmole/l
Critical compressibility factor
0.245
Latent heat of fusion (-77.7°C)
5655 J/gmole
Latent heat of vaporization (27°C)
19732 J/gmole
Molar specific heat, constant pressure at 47°C
36.9 J/gmole °C
Molar specific heat, constant volume at 47°C
28.3 J/gmole °C
Specific heat ratio
1.30
Gases and Gas Equipment 513 Viscosity of gas at 1 atm, 20°C
0.00982 cp
Thermal conductivity of gas (1 atm, 0°C)
1.27 × 10-5 J/sec cm °C
Solubility in water at 20°C
19.4 gmole/l
Flammable limits in air
15%–28%
Autoignition temperature
651°C
Refractive index
1.0003442
Dielectric constant gas
1.0072
Free energy of formation (298°K)
-933.6 J/gmole
DOT classification
2.3
DOT label
Poison Gas
UN Number
UN1005
CGA Connection
705, 720
NFPA hazard identification
health flammability reactivity
Exposure limits TWA
35 ppm OSHA STEL; 25 ppm ACGIH
2 (gas) 1 0
35 ppm ACGIH STEL LC50 2000 ppm/4HR RAT Target effects
Central nervous system, corrosive— inhalation, skin, eye, ingestion.
Reactivity
Acids, aldehydes, amides, halogens, oxygen, sulfur, and compounds.
Protective equipment
Dust resistant safety goggles and face shield, eye wash and drench shower,
gloves. Air release
Direct water spray to plume to reduce vapor spread. Contain resultant corrosive solution.
Semiconductor uses
CVD of silicon nitride
514 Semiconductor Safety Handbook 6.2
Argon Chemical Name
Argon
Chemical Formula
Ar
CAS number
7440-37-1
Atomic Weight
39.948
Boiling point
-186°C
Melting point
-189°C
Triple point temperature
-189°C
Triple point pressure
0.68 atm
Vapor pressure at -190°C
0.65 atm
Absolute density at 0°C
1.784 g/l
Relative density
1.38 (Air = 1)
Critical temperature
-122.3°C
Critical pressure
48.3 atm
Critical volume
0.00187 l/g
Critical density
535.7 g/l
Critical compressibility factor
0.291
Latent heat of fusion
1183 J/gmole
Latent heat of vaporization
6469 J/gmole
Molar specific heat, constant pressure (25°C)
20.85 J/gmole °C
Molar specific heat, constant volume (25°C)
12.48 J/gmole °C
Specific heat ratio
1.67
Viscosity of gas
0.0226 cp
Thermal conductivity of gas
1.694 × 10 -4 J/sec cm°C
Solubility in water (0°C)
0.0015 gmole/l
Gases and Gas Equipment 515
6.3
Refractive index (25°C, 1 atm)
1.000259
Dielectric constant (20°C, 1 atm)
1.000516
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1006
CGA Connection
580, 718
NFPA hazard identification
health flammability reactivity
Target effects
Asphyxiant
Reactivity
Inert
Protective equipment
Self contained breathing apparatus should be worn in confined areas or where air displacement is a possibility.
Air release
Ventilate area
Semiconductor uses
Inerting and implant
U 0 0
Arsenic Pentafluoride Chemical Name
Arsenic pentafluoride
Synonyms
Arsenic fluoride, pentafluoro arsorane
Chemical Formula
AsF5
CAS number
7784-36-3
Molecular Weight
169.91
Boiling point
-53°C
Melting point
-80°C
Vapor pressure (20°C)
19.8 atm
Absolute density
7.71 g/l
516 Semiconductor Safety Handbook Relative density
5.96 (Air = 1)
Solubility in water
reacts
Dielectric constant (20°C)
12.8
DOT classification
2.3
DOT label
Poison gas
UN Number
UN1955
CGA Connection
642
NFPA hazard identification
health flammability reactivity
Exposure limits
Known carcinogen Arsenic, inorganic 10 mg/m3 OSHA 200 mg/m3ACGIH TWA 2 mg/m 3 NIOSH 15 min. ceiling
Target effects
Corrosive—inhalation, skin, eye neurotoxin, poisoning may effect skin, liver, kidneys, gastrointestinal tract, hematopoietic and cardiovascular systems.
Reactivity
Hydrolyzes rapidly on contact with water or moist air. Avoid alkalis. Thermal decomposition may evolve arsenic oxides and corrosive fluorides.
Protective equipment
Self contained breathing apparatus under positive pressure, eye wash and drench showers, dust and splash proof goggles.
Air release
Remove to exhausted enclosure to contain release and direct toward spray or packed tower scrubber or other suitable means to minimize air dispersion. Scrubbing water will be toxic and corrosive.
Semiconductor uses
m-type dopant
U 0 2
Gases and Gas Equipment 517 6.4
Arsine Chemical Name
Arsine
Synonyms
Hydrogen arsenide, arsenic hydride
Chemical Formula
AsH3
CAS number
7784-42-1
Molecular Weight
77.946
Boiling point
-62.5°C
Melting point
-116.3°C
Triple point temperature
-116.9°C
Vapor pressure (21.1°C)
14.95 atm
Absolute density (20°C, 1 atm) 3.243 g/l Relative density
2.69 (Air = 1)
Critical temperature
99.9°C
Latent heat of fusion (-116.9°C)
1195 J/gmole
Latent heat of vaporization (-62.5°C)
16686 J/gmole
Molar specific heat, constant pressure*
38.522 J/gmole °C
Viscosity of gas
0.01458 cp
Thermal conductivity of gas
8.90 × 10-5 J/sec cm °C
Solubility in water (1 atm, 20°C)
0.0083 gmole/l
Flammable limits in air
4.5% - <100%
Free energy of formation*
68910 J/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
*As an ideal gas
518 Semiconductor Safety Handbook UN Number
UN2188
CGA Connection
350, 632
NFPA hazard identification
health flammability reactivity
4 3 0
Exposure limits
0.05 ppm OSHA TWA 0.05 ppm ACGIH TWA 2 µg/m3 NIOSH, 15 minute ceiling 390 µg/m3/10 minutes RAT LC50
Target effects
Hemolytic agent, headache, nausea, chest pain, dizziness, dysphagia, dyspnea, cardiovascular collapse, hemoglobinuria, pulmonary edema among several other effects.
Reactivity
Will decompose at temperatures of about 300°C. Avoid chlorine and all oxidizers. Stable under normal conditions of temperature and pressure.
Protective equipment
At any detectable concentration selfcontained breathing apparatus with full face mask and positive pressure.
Air release
With full protective gear attempt to stop leak by tightening or plugging valve outlet. Move to exhausted enclosure.
Route leaking gas to hypobromite solution. Caution—waste solution will be toxic. Semiconductor uses
6.5
m-type dopant
Boron Trichloride Chemical Name
Boron trichloride
Synonyms
Trichloroborane, boron chloride
Chemical Formula
BCl3
CAS number
10294-34-5
Molecular Weight
117.16
Boiling point
12.5°C
Gases and Gas Equipment 519 Melting point
-107°C
Vapor pressure (13°C)
1 atm
Absolute density
5.326 g/l
Relative density
4.03 (Air = 1)
Critical temperature
178.8°C
Critical pressure
38.2 atm
Critical volume
1.266 × 10-3 l/g
Critical density
790 g/l
Critical compressibility factor
0.153
Latent heat of fusion (-107.3°C)
2109 J/gmole
Molar specific heat, constant pressure
62.8 J/gmole °C
Molar specific heat, constant volume
54.8 J/gmole °C
Specific heat ratio
1.146
Viscosity of gas (25°C, 1 atm)
0.01135 cp
Thermal conductivity of gas (25°C, 1 atm)
8.577 × 10-5 J/sec cm °C
Solubility in water
reacts
Free energy of formation*
-387.982 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, corrosive
UN Number
UN1741
CGA Connection
660, 634
NFPA hazard identification
health flammability reactivity
*As an ideal gas
3 0 1
520 Semiconductor Safety Handbook Exposure limits
None established by OSHA, ACGIH or NIOSH. LC50 2541 ppm/1 hr RAT
Target effects
Corrosive - irritation to respiratory tract. May form HCl in lungs. Respiratory choking, dizziness, nausea, general weakness. Pulmonary edema may develop after latency period. Dyspnea, cyanosis, rapid pulse.
Reactivity
Reacts with water or moisture in air to produce corrosive fumes of HCl. Reacts with organic materials.
Protective equipment
Self contained positive flow breathing apparatus with full face shield. To prevent skin contact wear impervious clothing. Wear gloves. Storage and use areas should be well ventilated.
Air release
If unsuccessful in controlling leak of valve by tightening or capping, remove to exhausted enclosure. Direct exhaust to water wash scrubber or caustic solution.
Semiconductor uses
Etchant
6.6
Boron Trifluoride
Chemical Name
Boron trifluoride
Synonyms
Boron fluoride, trifluoroboron
Chemical Formula
BF3
CAS number
7637-07-2
Molecular Weight
67.81
Boiling point
-100°C
Melting point
-127°C
Absolute density
2.867 g/l
Relative density
2.3 (Air = 1)
Critical temperature
-12.2°C
Critical pressure
49.2 atm
Gases and Gas Equipment 521 Critical volume
1.823 × 10-3 l/g
Critical density
549 g/l
Critical compressibility factor
0.284
Latent heat of fusion (-128.7°C)
4242 J/gmole
Latent heat of vaporization (-100°C)
16974 J/gmole
Molar specific heat, constant pressure
50.242 J/gmole °C
Molar specific heat, constant volume
42.271 J/gmole °C
Specific heat ratio
1.19
Viscosity of gas
0.0171 cp
Solubility in water
reacts
Refractive index (25°C, 1 atm)
1.000379
Free energy of formation
-1119 kJ/gmole
DOT classification
2.3
DOT label
Poison gas
UN Number
UN1008
CGA Connection
330, 642
NFPA hazard identification
health flammability reactivity
Exposure limits
1 ppm OSHA ceiling 1 ppm ACGIH ceiling
3 0 1
426 ppm/4 hours—RAT LC50 , 100 ppm immediately dangerous to life and health. Five hundred pounds SARA section 302 threshold planning quantity. One pound SARA section 304 reportable quantity.
522 Semiconductor Safety Handbook
6.7
Target effects
Corrosive—inhalation, skin, eye. Poisoning may affect teeth kidneys. Acute exposure: choking, coughing, chills, chest pain, pulmonary inflammation, edema. Chronic exposure: nasal bleeding, dryness, fluorosis, joint stiffness, general weakness among several other effects.
Reactivity
Reacts with water and moist air. Will produce corrosive fumes of HF. Reacts with organic materials and may react with some plastics.
Protective equipment
Provide exhaust where used and stored. Self-contained breathing apparatus in positive pressure mode, utilizing full face shield. Clothing and gloves suitable to prevent skin, eyes or mucous membrane exposure.
Air release
Using full protective clothing attempt to stop cylinder valve leak by moderately tightening. If unsuccessful, remove cylinder to evacuated enclosure and direct exhaust to wash tower or other suitable adsorption media.
Semiconductor uses
Etchant
Bromotrifluoromethane Chemical Name
Bromotrifluoromethane
Synonyms
Halon 1301, Freon 13B1, Trifluorobromomethane
Chemical Formula
CBrF3
CAS number
75-63-8
Molecular Weight
148.92
Boiling point
-58°C
Melting point
-168°C
Vapor pressure (21°C)
13.93 atm
Absolute density (25°C, 1 atm) 6.291 g/l Relative density
5.0 (Air = 1)
Gases and Gas Equipment 523 Critical temperature
67°C
Critical pressure
39.12 atm
Critical volume
0.0013 l/g
Critical density
0.745 g/l
Critical compressibility factor
0.280
Latent heat of vaporization (26.7°C)
11689 J/gmole
Molar specific heat, 70.59 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 62.27 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.13
Viscosity of gas (25°C, 1 atm)
0.0016 cp
Thermal conductivity of gas (25°C, 1 atm)
2.09 × 10-5 J/sec cm °C
Solubility in water (25°C, 1 atm)
0.0020 gmole/l
Free energy of formation*
-622.6 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1009
CGA Connection
660
NFPA hazard identification
health flammability reactivity
Exposure limits
*As an ideal gas
2 0 0
1000 ppm OSHA TWA 1000 ppm ACGIH TWA 1200 ppm ACGIH STEL 6.83% RAT LC50 50000 ppm immediately dangerous to life or health
524 Semiconductor Safety Handbook
6.8
Target effects
Irritant to skin. CNS depressant. Respiratory tract irritation, tremor, and incoordination. Dizziness, disorientation, narcosis, and nausea may also occur.
Reactivity
Stable under normal conditions of temperature and pressure. May react with CO, Al, Mg and Zn. Thermal decomposition may produce highly corrosive acid gases.
Protective equipment
Self-contained breathing apparatus with full face piece under positive pressure. Wear cold insulating gloves, and splash proof eye protection.
Air release
Stop leak if it can be accomplished without risk. Isolate area. Duct large leaks away from occupied areas.
Semiconductor uses
Etchant
Chlorine Chemical Name
Chlorine
Synonyms
Dichlorine
Chemical Formula
Cl2
CAS number
7782-50-5
Molecular Weight
70.906
Boiling point
-35°C
Melting point
-101°C
Triple point temperature
-101°C
Vapor pressure (21.1°C)
6.8 atm
Absolute density (20°C, 1 atm) 2.98g/l Relative density
2.49 (Air = 1)
Critical temperature
144°C
Critical pressure
76.1 atm
Critical volume
1.745 × 10-3 l/g
Gases and Gas Equipment 525 Critical density
5.73 × 10-4 g/l
Critical compressibility factor
0.276
Latent heat of fusion (-101°C)
6406 J/gmole
Latent heat of vaporization (-34°C)
20409 J/gmole
Molar specific heat, 35.32 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 27.0 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.31
Viscosity of gas (20°C)
0.01327 cp
Thermal conductivity of gas (27°C, 1 atm)
8.80 × 10-5 J/sec cm °C
Solubility in water (20°C)
0.2059 gmole/l
Refractive index (25°C, 1 atm)
1.000713
DOT classification
2.3
DOT label
Poison gas
UN Number
UN1017
CGA Connection
660, 634
NFPA hazard identification
health flammability reactivity
3 0 0
Exposure limits
0.5 ppm ACGIH TWA 1.0 ppm ACGIH STEL 100 lbs SARA 302 TPQ 0.5 ppm OSHA TWA 10 lbs SARA 304 RQ 1.0 ppm OSHA STEL 293 ppm/1 hour RAT LC50 30 ppm immediately dangerous to life and health
Target effects
Corrosive, toxic. Mucous membrane irritation. Occupational exposures have resulted in burning of nose and mouth with rhinorrhea choking, dyspnea, and cyanosis. Pulmonary edema, pneumonitis, anxiety, sneezing, weakness, headache. Massive inhalation may cause death by cardiac arrest.
526 Semiconductor Safety Handbook
6.9
Reactivity
Reacts with numerous chemicals, particularly avoid reducing compounds. Reacts with such materials as hydrocarbons, ammonia, metal oxides, and activated carbon.
Protective equipment
Self-contained breathing apparatus with full face shield operated under positive pressure. Dust and splash proof safety goggles, and clothing to prevent skin contact should be worn.
Air release
Apply water spray to minimize vapor dispersion if careful leak elimination measures have failed. Run off should be diked since solution is corrosive. Keep combustible away from dispersing gas.
Semiconductor uses
Etchant
Chloropentafluoroethane Chemical Name
Chloropentafluoroethane
Synonyms
Halocarbon-115
Chemical Formula
C2ClF5
CAS number
76-15-3
Molecular Weight
154.47
Boiling point (1 atm)
-39.1°C
Melting point
-106°C
Triple point temperature
-99.4°C
Triple point pressure
0.023 atm
Vapor pressure (21.1°C)
7.94 atm
Absolute density (25°C, 1 atm)
6.68g/l
Relative density
5.545 (Air = 1)
Critical temperature
80°C
Critical pressure
31.16 atm
Critical volume
1.63 × 10-3 l/g
Gases and Gas Equipment 527 Critical density
613 g/l
Critical compressibility factor
0.271
Latent heat of fusion (-99.4°C)
1878 J/gmole
Latent heat of vaporization
17080 J/gmole
Molar specific heat, 108 J/gmole °C constant pressure (25°C, 1 atm) Viscosity of gas
0.0125 cp
Solubility in water (25°C, 1 atm)
0.0004 gmole/l
Dielectric constant (27.4°C, 0.5 atm)
1.0018
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1020
CGA Connection
660, 716
Exposure limits
1000 ppm TWA ACGIH
Target effects
High concentrations may cause dizziness, incoordination, narcosis, nausea and vomiting.
Reactivity
Stable at normal conditions of pressure and temperature.
Protective equipment
For any possibility of contacting liquid wear gloves to prevent frostbite and face shield/goggles to protect eyes.
Air release
Ventilate area, deny entry. Move cylinder, in case of leak, to exhausted enclosure.
Semiconductor uses
Etchant
6.10 Chlorotrifluoromethane Chemical Name
Chlorotrifluoromethane
528 Semiconductor Safety Handbook Synonyms
Halocarbon-13, Trifluoromethylchloride, Trifluorochloromethane, Trifluoromonochlorocarbon
Chemical Formula
CClF3
CAS number
75-72-9
Molecular Weight
104.46
Boiling point
-81°C
Melting point
-181°C
Vapor pressure at 21°C
32.2 atm
Absolute density (25°C, 1 atm) 4.35 g/l Relative density
3.61 (Air = 1)
Critical temperature
28.8°C
Critical pressure
38.2 atm
Critical volume
1.73 × 10-3 l/g
Critical density
578 g/l
Critical compressibility factor
0.279
Latent heat of vaporization
3581 J/gmole
Molar specific heat, 67.65 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 59.34 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.14
Viscosity of gas (25°C)
0.0144 cp
Solubility in water (25°C)
0.00086 gmole/l
Dielectric constant (29°C, 0.5 atm)
1.0013
Free energy of formation
-667.39 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
Gases and Gas Equipment 529 UN Number
UN1022
CGA Connection
660, 716
NFPA hazard identification
health flammability reactivity
Exposure limits
No occupational exposure limits established by OSHA, NIOSH or ACGIH
Target effects
Simple asphyxiant. Poisoning may also affect central nervous system. Mild respiratory tract irritation.
Reactivity
Stable under normal temperatures and pressures. Decomposition products may include toxic and corrosive halogen fumes. Avoid contact with aluminum.
Protective equipment
Supplied air respirator in positive pressure mode for high concentrations. Splash or dust proof goggles for eye protection.
Air release
Ventilate area.
Semiconductor uses
Etchant
1 1 0
6.11 Diborane Chemical Name
Diborane
Synonyms
Borohydride, biboron hexahydride
Chemical Formula
B2H6
CAS number
19287-45-7
Molecular Weight
27.68
Boiling point
-92°C
Melting point
-165°C
Vapor pressure (16.7°C)
39.52 atm
Absolute density (0°C, 1 atm)
1.247 g/l
Relative density (0°C, 1 atm)
0.965 (Air = 1)
Critical temperature
16.7°C
530 Semiconductor Safety Handbook Critical pressure
39.52 atm
Critical volume
6.04 × 10-3 l/g
Critical density
166 g/l
Critical compressibility factor
0.278
Latent heat of fusion (-165°C)
4473 J/gmole
Latent heat of vaporization (6.8°C, 31.7 atm)
5594 J/gmole
Molar specific heat, 57.137 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 48.463 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.179
Viscosity of gas (20°C, 1 atm)
0.00785 cp
Solubility in water
reacts
Flammable limits in air
0.8%–98%
Autoignition temperature
38–52°C
Free energy of formation*
91.801 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
UN Number
UN1911
CGA Connection
350, 632
NFPA hazard identification
health flammability reactivity
Exposure limits
*As an ideal gas
3 4 3
0.1 ppm TWA ACGIH 0.1 ppm TWA OSHA 100 lbs SARA 302 TPQ 1 lbs SARA 304 RQ 40 ppm/4 HR RAT LC50
Gases and Gas Equipment 531 Target effects
Highly toxic, severe pulmonary irritant. 40 ppm IDL&H. Hydrolyzed in lungs causing pulmonary edema and possible hemorrhage. Cough, chills, fever, blurred vision, dizziness, fatigue, muscle weakness and possible pneumonia.
Reactivity
Usually ignites in air. Reacts with water. Reacts with a wide variety of materials: NH3, Al, Cl2, Li, halocarbons, oxygen and others. Avoid oxidizers.
Protective equipment
Supplied air respirator operated in positive pressure mode. Wear impervious clothing to prevent skin contact. Splashproof goggles and gloves should also be worn.
Air release
Shut down ignition sources. If it can be done safely stop leak. If not possible remove to exhausted enclosure, route exhaust to water scrubber. Ventilate area.
Semiconductor uses
p-type dopant
6.12 Dichlorodifluoromethane Chemical Name
Dichlorodifluoromethane
Synonyms
Halocarbon-12, R-12
Chemical Formula
CCl2F 2
CAS number
75-71-8
Molecular Weight
120.91
Boiling point
-30°C
Melting point
-158°C
Vapor pressure (21.1°C)
5.78 atm
Absolute density (20°C, 1 atm) 5.056 g/l Relative density
4.2 (Air = 1)
Critical temperature
111.8°C
Critical pressure
40.71 atm
Critical volume
1.729 × 10 -3 l/g
532 Semiconductor Safety Handbook Critical density
558 g/l
Critical compressibility factor
0.279
Latent heat of vaporization (-30°C)
19.96 kJ/gmole
Molar specific heat, 74.47 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 66.17 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.125
Viscosity of gas (4.4°C, 1 atm) 0.0117 cp Thermal conductivity of gas (10°C, 1 atm)
9.33 × 10-5 J/sec cm °C
Solubility in water
0.0007 gmole/l
Refractive index (26.7°C, 1 atm) 1.0010 Dielectric constant (29°C, 0.5 atm)
1.0016
Free energy of formation
-452.7 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1028
CGA Connection
660, 716
NFPA hazard identification
health flammability reactivity
1 0 0
Exposure limits
1000 ppm OSHA TWA 1000 ppm ACGIH TWA 80 pph/30 mintues RAT LC50
Target effects
Central nervous system depressant and simple asphyxiant. Persons with chronic respiratory or cardiovascular disease may be at increased risk from severe exposure. Forty (40) ppm for 80 minutes individuals experienced generalized paresthesia, tinnitus, apprehension and slurred speech. One hundred (100) ppm produced cardiac arrhythmias.
Gases and Gas Equipment 533 Reactivity
Stable under normal conditions of temperature and pressure. Will react with powdered Al and alkali metals. Decomposition may produce toxic fumes of hydrogen chloride, hydrogen fluoride and phosgene.
Protective equipment
Supplied air respirator with full face piece. Splash proof or dust resistant goggles for eye protection and gloves to prevent liquid contact on skin.
Air release
Ventilate area
Semiconductor uses
Etchant
6.13 Dichlorosilane Chemical Name
Dichlorosilane
Synonyms
Dichlorosilicone
Chemical Formula
SiH2Cl2
CAS number
4109-96-0
Molecular Weight
101.01
Boiling point (1 atm)
8.2°C
Melting point
-122°C
Vapor pressure (20°C)
1.65 atm
Absolute density (25°C, 1 atm) 4.168 g/l Relative density (25°C, 1 atm)
3.52 (Air = 1)
Critical temperature
176°C
Critical pressure
46.15 atm
Critical volume
2.158 × 10 -3 l/g
Critical density
463 g/l
Critical compressibility factor
0.273
Latent heat of vaporization at 8.2°C
27.24 kJ/gmole
534 Semiconductor Safety Handbook Molar specific heat, constant pressure* (25°C)
62.1 J/gmole °C
Viscosity of gas
0.0163 cp
Solubility in water
reacts
Flammable limits in air
4.1%–99%
Autoignition temperature
36–58°C
Free energy of formation*
-288.3 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
UN Number
UN2189
NFPA hazard identification
health flammability reactivity
3 4 2
Exposure limits
No limits established by OSHA, NIOSH, or ACGIH, however, a TLV of 5 ppm has been suggested.
Target effects
Exposure will cause coughing, choking, headache, dizziness, weakness, hypotension and delayed pulmonary edema, chest tightness, dyspnea, and cyanosis. Chronic exposure may cause erosion of teeth, jaw necrosis, bronchial irritation, bronchial pneumonia and gastrointestinal effects are also possible. Corrosive to all body tissues.
Reactivity
Explosion or fire hazard with water, air, basic materials and organics. Thermal decomposition may release HCl, Cl2 , and SiO2 dusts. Violent polymerization may occur on contact with air or water.
Protective equipment
Provide local exhaust. Supplied air respirator under positive pressure mode with full face shield. Impervious clothing and gloves along with splash proof goggles should be worn to prevent any possible tissue exposure.
*As an ideal gas
Gases and Gas Equipment 535 Air release
Shut off ignition sources. Use water spray from a distance to reduce potential flammable cloud dispersal. Caution, resulting fumes (HCl) are corrosive and can react violently. If possible contain in exhausted cabinet and direct to suitable scrubbing medium.
Semiconductor uses
Chemical vapor deposition of SiO2 or SiN and growth of epitaxial or polycrystalline silicon.
6.14 Disilane Chemical Name
Disilane
Synonyms
Disilicone, Silicoethane, Disilicoethane
Chemical Formula
Si2H6
CAS number
1590-87-0
Molecular Weight
62.22
Boiling point
-15°C
Melting point
-133°C
Absolute density
2.865 g/l
Solubility in water
Slow decomposition
DOT classification
2.1
DOT label
Flammable gas
UN Number
UN1954
NFPA hazard identification
health flammability reactivity
Exposure limits
No limits established by OSHA, NIOSH, or ACGIH.
Target effects
Acute exposure may be irritating to the mucous membranes of the respiratory tract, headache and nausea may be factors. There is no data available for chronic exposure.
U 3 2
536 Semiconductor Safety Handbook Reactivity
Pyrophoric may ignite spontaneously on contact with air. Avoid contact with all oxidizers.
Protective equipment
Provide local exhaust with explosion proof equipment. Self contained respirator in positive pressure mode. Splash proof goggles, impervious clothing and gloves are recommended.
Air release
Remove ignition sources. Isolate area until gas has dispersed. Will likely ignite, keep safe distance. Cylinder should be stored in ventilated enclosure.
Semiconductor uses
Epitaxial deposition of single crystal films. Chemical vapor deposition of SiO2 films and Si3N4.
6.15 Germane Chemical Name
Germane
Synonyms
Germanium tetrahydride, Germanomethane
Chemical Formula
GeH4
CAS number
7782-65-2
Molecular Weight
76.63
Boiling point (1 atm)
-88.4°C
Melting point
-166°C
Absolute density (0°C, 1 atm)
3.42g/l
Relative density (0°C, 1 atm)
2.65 (Air = 1)
Critical temperature
34.8°C
Critical pressure
54.8 atm
Critical volume
1.671 × 10-3 l/g
Critical density
598 g/l
Critical compressibility factor
0.276
Latent heat of fusion at -166°C 835 J/gmole *As an ideal gas
Gases and Gas Equipment 537 Molar specific heat, constant pressure* (25°C)
45.0 J/gmole °C
Refractive index (0°C, 1 atm)
1.000894
Free energy of formation*
113.4 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
UN Number
UN2192
CGA Connection
350
NFPA hazard identification
health flammability reactivity
Exposure limits
0.2 ppm OSHA TWA 0.2 ppm ACGIH TWA
Target effects
Skin, eye and mucous membrane irritant, and hemolytic agent. Chills, fever, nausea, vomiting, tightness in chest, dyspnea, dysphagia, severe headache, back pain, cardiac abnormalities are the symptoms among others. Delayed symptoms may include pulmonary edema, hemolysis, cyanosis, renal failure, hemoglobinuria, spleen enlargement, and liver damage.
Reactivity
Possible ignition in air—less stable than silane, will decompose at elevated temperatures, ~about 280°C, possibly explosively.
Protective equipment
Supplied air respirator in positive pressure mode, full face shield. Splash proof goggles and gloves to prevent mucous membrane and skin contact.
Air release
Remove ignition sources. Isolate area. Confine leak to directed exhausted enclosure. Ventilate area. If gas is burning, extinguish only if leak can be stopped. Douse with water to limit gas dispersal and cool surroundings.
Semiconductor uses
Expitaxial deposition of SiGe alloys.
3 3 1
538 Semiconductor Safety Handbook 6.16 Helium Chemical Name
Helium
Chemical Formula
He
CAS number
7440-59-7
Atomic Weight
4.00
Boiling point
-269°C
Melting point
-272°C
Absolute density (0°C, 1 atm)
0.1785 g/l
Relative density (0°C, 1 atm)
0.138 (Air = 1)
Critical temperature
-268°C
Critical pressure
2.26 atm
Critical volume
1.44 × 10-2 l/g
Critical density
69.3 g/l
Critical compressibility factor
0.305
Latent heat of fusion (-272°C, 25 atm)
0.3347 J/gmole
Molar specific heat, 20.97 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 12.86 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.63
Viscosity of gas (26.8°C, 1 atm) 0.02012 cp Thermal conductivity of gas (0°C, 1 atm)
1.444 × 10-3 J/sec cm °C
Solubility in water
3.58 × 10-4 gmole/l
Refractive index (25°C, 1 atm) 1.00003197 Dielectric constant (25°C, 1 atm)
1.0000639
Gases and Gas Equipment 539 DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1046
CGA Connection
580, 718
NFPA hazard identification
health flammability reactivity
Exposure limits
No limits established by OSHA, NIOSH, or ACGIH
Target effects
Simple asphyxiant. Unconsciousness may be immediate depending on degree of lack of oxygen.
Reactivity
Inert. Non-reactive.
Protective equipment
Provide general ventilation. Selfcontained breathing apparatus operated in positive pressure mode.
Air release
Ventilate area.
Semiconductor uses
Inerting and protective atmospheres.
U 0 0
6.17 Hexafluoroethane Chemical Name
Hexafluoroethane
Synonyms
Perfluoroethane, Halocarbon-116
Chemical Formula
C2F6
CAS number
76-16-4
Molecular Weight
138.012
Boiling point (1 atm)
-78.2°C
Melting point
-100.7°C
Triple point temperature
-100°C
Absolute density (23.9°C, 1 atm)
5.734 g/l
Relative density (23.9°C, 1 atm) 4.82 (Air = 1)
540 Semiconductor Safety Handbook Critical temperature
19.7°C
Critical pressure
29.4 atm
Critical volume
1.645 × 10-3 l/g
Critical density
608 g/l
Critical compressibility factor
0.278
Latent heat of fusion (-100.6°C)
2685 J/gmole
Molar specific heat, 105.1 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 92.4 J/gmole °C constant volume (10°C, 1 atm) Viscosity of gas (25°C, 1 atm)
0.0144 cp
Thermal conductivity of gas (25°C, 1 atm)
1.62 × 10-4 J/sec cm °C
Dielectric constant (23°C)
1.00197
Free energy of formation
-1258.2 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN2193
CGA Connection
660, 716
Exposure limits
None established by OSHA, NIOSH, or ACGIH. It is believed to be relatively nontoxic.
Target effects
Inhalation of high concentrations can cause dizziness, incoordination, narcosis, nausea, and vomiting.
Reactivity
Relatively non-reactive.
Protective equipment
For very high concentrations use selfcontained breathing apparatus in positive pressure mode. Avoid skin and eye contact with liquid by use of gloves and splash proof goggles.
Gases and Gas Equipment 541 Air release
Ventilate area. Remove leaking cylinder to exhausted enclosure to prevent build up in low areas.
Semiconductor uses
Etchant
6.18 Hydrogen Chemical Name
Hydrogen
Chemical Formula
H
CAS number
1333-74-0
Molecular Weight
1.00797
Boiling point, 1 atm (equilibrium)
-252.9°C
Melting point (1 atm)
-259.2°C
Triple point temperature (equilibrium)
-259.3°C
Triple point pressure
0.0695 atm
Absolute density (normal) (25°C, 1 atm)
0.08235 g/l
Relative density (25°C, 1 atm)
0.0695 (Air = 1)
Critical temperature (equilibrium)
-240.2°C
Critical pressure (equilibrium)
12.77 atm
Critical volume (equilibrium)
0.0325 l/g
Critical density
30.8 g/l
Critical compressibility factor
0.309
Latent heat of fusion (-259.4°C)
117.25 J/gmole
Latent heat of vaporization, -255.2°C (normal)
922.9 J/gmole
Molar specific heat, 28.851 J/gmole °C constant pressure (26.8°C, 1 atm)
542 Semiconductor Safety Handbook Molar specific heat, 20.535 J/gmole °C constant volume (26.8°C, 1 atm) Specific heat ratio
1.405
Viscosity of gas (26.8°C, 1 atm)
0.008957 cp
Thermal conductivity of gas (26.8°C, 1 atm)
0.0018 J/sec cm °C
Solubility in water (20°C, 1 atm)
7.57 × 10-4 gmole/l
Flammable limits in air
4%–75%
Autoignition temperature
571°C
Refractive index (20°C, 1 atm)
1.0001297
DOT classification
2.1
DOT label
Flammable gas
UN Number
UN1049
CGA Connection
350, 724
NFPA hazard identification
health flammability reactivity
Exposure limits
No occupational limits have been established by OSHA, NIOSH, or
0 4 0
ACGIH. Target effects
Simple asphyxiant. Symptoms will depend on rapidity of oxygen loss or displacement. Typical effects would be air hunger, rapid respiration and pulse, dizziness, rapid fatigue, nausea, vomiting, collapse.
Reactivity
Highly flammable, rapid dispersal. Avoid oxidizers. Stable under normal temperatures and pressures.
Protective equipment
Supplied air respirator operated in positive pressure mode. Special protective clothing is not required when working with the gas.
Gases and Gas Equipment 543 Air release
Shut down ignition sources. Disperse gas, ventilate with explosion proof equipment.
Semiconductor uses
Protective atmosphere, reducing atmosphere during sintering carrier for dopant gases.
6.19
Hydrogen Bromide
Chemical Name
Hydrogen bromide
Synonyms
Hydrogen monobromide, hydrobromic acid
Chemical Formula
HBr
CAS number
10035-10-6
Molecular Weight
80.912
Boiling point
-67°C
Melting point
-87°C
Triple point temperature
-86.8°C
Vapor pressure (16.8°C)
20.0 atm
Absolute density (25°C, 1 atm)
3.33 g/l
Relative density (25°C, 1 atm)
2.81 (Air = 1)
Critical temperature
89.8°C
Critical pressure
84.0 atm
Critical volume
1.36 × 10-3 l/g
Critical density
735 g/l
Critical compressibility factor
0.310
Latent heat of fusion
-86.9°C
2406 J/gmole
Latent heat of vaporization
-66.7°C
17614 J/gmole
Molar specific heat, 29.79 J/gmole °C constant pressure (25°C, 1 atm)
544 Semiconductor Safety Handbook Molar specific heat, 20.98 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.42
Viscosity of gas (20°C, 1 atm)
0.0175 cp
Thermal conductivity of gas (26.7°C, 1 atm)
8.64 × 10-5 J/sec cm °C
Solubility in water (25°C, 1 atm) 23.85 gmole/l Refractive index (25°C, 1 atm) 1.000559 Dielectric constant (0°C, 1 atm) 1.00313 Free energy of formation*
-53.5 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, corrosive
UN Number
UN1048
CGA Connection
330, 634
NFPA hazard identification
health flammability reactivity
3 0 0
Exposure limits
3 ppm OSHA ceiling 3 ppm ACGIH ceiling 2858 ppm/1 hr. RAT LC50 50 ppm immediately dangerous to life and health
Target effects
Nasal and throat irritation, respiratory irritation, coughing, choking, burns to mucous membranes, headache, dizziness, nausea and weakness. Pulmonary edema may occur immediately or after a latency period of up to three days. Chronic exposure may cause erosion of teeth, jaw necrosis, bronchial irritation and gastrointestinal disturbances among others.
Reactivity
Stable under normal conditions of temperature and pressure. HBr is in compatible with ammonia, caustics, metals in the presence of moisture. Avoid strong oxidizers.
*As an ideal gas
Gases and Gas Equipment 545 Protective equipment
Provide local exhaust. Supplied air respirator operated in positive pressure mode with full face piece. Impervious clothing, gloves, and splash proof goggles to avoid skin and eye contact.
Air release
Use water spray to reduce vapor dispersal. Caution, resultant liquid is corrosive. Isolate area. If possible, remove leak source to ventilated enclosure and direct to scrubber.
Semiconductor uses
Etchant
6.20 Hydrogen Chloride Chemical Name
Hydrogen chloride
Synonyms
Anhydrous hydrochloric acid
Chemical Formula
HCl
CAS number
7647-01-0
Molecular Weight
36.461
Boiling point
1 atm
Melting point
-114.2°C
Triple point temperature
-114.2°C
Vapor pressure
21.1°C
42.7 atm
Absolute density
25°C, 1 atm
1.50 g/l
Relative density
25°C, 1 atm
1.267 (Air = 1)
Critical temperature
51.4°C
Critical pressure
81.5 atm
Critical volume
2.386 × 10 -3 l/g
Critical density
420 g/l
Critical compressibility factor
0.266
Latent heat of fusion (-114.2°C) 1991 J/gmole
-85°C
546 Semiconductor Safety Handbook Latent heat of vaporization (-85°C)
16.156 kJ/gmole
Molar specific heat, 29.58 J/gmole °C constant pressure (15°C, 1 atm) Molar specific heat, 20.98J/gmole °C constant volume (15°C, 1 atm) Specific heat ratio
1.41
Viscosity of gas (25°C, 1 atm)
0.0148 cp
Thermal conductivity of gas (15.6°C, 1 atm)
1.35 × 10-4 J/sec cm °C
Solubility in water (0°C)
22.57 gmole/l
Refractive index (25°C, 1 atm) 1.000408 Dielectric constant (0°C, 1 atm) 1.0046 Free energy of formation*
-95.30 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, corrosive
UN Number
UN1050
CGA Connection
330, 634
NFPA hazard identification
health flammability reactivity
3 0 0
Exposure limits
100 ppm immediately dangerous to life and health 5 ppm OSHA ceiling 5 ppm ACGIH ceiling 500 lbs SARA 302 TPQ 5000 lbs SARA 304 RQ 4701 ppm/30 minutes RAT LC50
Target effects
Coughing, choking, pulmonary edema. Nasal ulceration, bronchitis, pneumonia, and headache result from high concentrations. Higher concentrations may cause necrosis of the tracheal and bronchial epithelium, emphysema, and lesions of liver and other organs.
*As an ideal gas
Gases and Gas Equipment 547 Reactivity
Reactive with a wide range of chemicals and metals. Reacts exothermically with water.
Protective equipment
Supplied air respirator with full face piece operated in positive pressure mode. Impervious clothing, gloves and splash proof goggles should be worn to avoid all surface contact.
Air release
Reduce spread of vapors with use of water spray; caution, resultant solution is corrosive. If possible, remove leak to exhausted enclosure, direct ventilation to water scrubber.
Semiconductor uses
Etchant
6.21 Hydrogen Fluoride Chemical Name
Hydrogen fluoride
Synonyms
Anhydrous hydrofluoric acid
Chemical Formula
HF
CAS number
7664-39-3
Molecular Weight
20.01
Boiling point
19.5°C
Melting point
-83.6°C
Triple point temperature
-83.4°C
Vapor pressure (21.1°C)
1.05 atm
Absolute density (25°C, 1 atm) 2.201 g/l Relative density (25°C)
1.858 (Air = 1)
Critical temperature
188°C
Critical pressure
64.0 atm
Critical volume
0.0034 l/g
Critical density
290 g/l
Critical compressibility factor
0.12
Latent heat of fusion
-83.4°C
3928 J/gmole
548 Semiconductor Safety Handbook Latent heat of vaporization at 19.5°C
7462 J/gmole
Molar specific heat, 251 J/gmole °C constant pressure† (30°C, 1 atm) Molar specific heat, 47.7 J/gmole °C constant volume† (30°C, 1 atm) Specific heat ratio
5.26
Thermal conductivity of gas (100.6°C, 1 atm)
2.55 × 10-4 J/sec cm °C
Solubility in water
Reacts
Refractive index (25°C)
1.1574
Free energy of formation*
-274.6 kJ/gmole
DOT classification
8
DOT label
Corrosive, poison
UN Number
UN1052
CGA Connection
670, 638
NFPA hazard identification
health flammability reactivity
4 0 0
Exposure limits
30 ppm immediately dangerous to life and health 1276 ppm/1 hr RAT LC50 3 ppm OSHA TWA; 6 ppm OSHA STEL 3 ppm ACGIH ceiling 3 ppm NIOSH 10 hr TWA 6 ppm NIOSH 15 min ceiling 100 lbs SARA 302 TPQ and 304 RQ
Target effects
Nasal irritation, choking, coughing, chills, chest pain. Dyspnea was evidenced on short exposure. Latency period of up to two days may be followed by fever, cyanosis, pulmonary edema or bronchial pneumonia. Chronic exposure has led to nasal ulcerations, sinus problems and bronchitis. Osteosclerosis to various degrees has been a factor in chronic exposure.
† Wide variation in reported values due to extensive H-bonding and resultant non-ideality. *As an ideal gas
Gases and Gas Equipment 549 Reactivity
Reacts violently with water and will release corrosive fumes. Reacts with a wide variety of materials including metals with which it may liberate flammable hydrogen gas.
Protective equipment
Self contained breathing apparatus operating in positive pressure mode, with full face shield. Impervious clothing, gloves, should be worn to prevent any skin contact. Splash proof goggles are required to prevent eye contact.
Air release
Apply water spray from distance to mitigate dispersion of fumes, caution, resultant solution is corrosive. If possible, contain leak in exhausted enclosure and ventilate to water scrubber.
Semiconductor uses
Etchant
6.22 Hydrogen Selenide Chemical Name
Hydrogen selenide
Synonyms
Selenium hydride
Chemical Formula
H2Se
CAS number
7783-07-5
Molecular Weight
80.976
Boiling point (1 atm)
-42°C
Melting point
-64°C
Triple point temperature
-65.7°C
Triple point pressure
0.27 atm
Vapor pressure (21.1°C)
9.5 atm
Absolute density (25°C, 1 atm) 3.312 g/l Relative density (25°C, 1 atm)
2.80 (Air = 1)
Critical temperature
138°C
Critical pressure
88 atm
Latent heat of fusion (-65.7°C) 2507 J/gmole
550 Semiconductor Safety Handbook Molar specific heat, constant pressure* (25°C)
34.75 J/gmole °C
Solubility in water (20°C, 1 atm) 0.0853 gmole/l Free energy of formation*
15.9 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
UN Number
UN2202
CGA Connection
350
NFPA hazard identification
health flammability reactivity
3 3 3
Exposure limits
0.05 ppm OSHA TWA 0.05 ppm ACGIH TWA 10 lbs SARA 302 TPQ, 1 lb SARA 304 RQ 6 ppm/1 hr RAT LC50
Target effects
H2 Se is an eye, skin and mucous membrane irritant. Poisoning may affect lungs, liver and spleen. Exposure may cause coughing, labored breathing, olfactory fatigue, nausea, vomiting, weakness, headache, bronchial pneumonia, delayed pulmonary edema and death.
Reactivity
Can form flammable and explosive mixtures with air. Avoid oxidizers.
Protective equipment
Self contained breathing apparatus in positive pressure mode. Impervious clothing and gloves to avoid contact with gas. Face shield and splash proof goggles to prevent eye exposure.
Air release
Shut down sources of ignition. Isolate area until gas has dispersed. If possible remove to exhausted enclosure and direct to caustic scrubber.
Semiconductor uses
Dopant
Gases and Gas Equipment 551 6.23 Methyl Fluoride Chemical Name
Methyl fluoride
Synonym
Fluoromethane
Chemical Formula
CH3F
CAS number
593-53-3
Molecular Weight
34.033
Boiling point
-78.4°C
Melting point
-141.8°C
Vapor pressure (21.1°C)
37.6atm
Absolute density (20°C, 1 atm) 1.440 g/l Relative density (20°C, 1 atm)
1.195 (Air = 1)
Critical temperature
44.9°C
Critical pressure
57.8 atm
Critical volume
3.32 × 10-3 l/g
Critical density
301 g/l
Critical compressibility factor
0.268
Latent heat of vaporization (225°K)
15.9 kJ/gmole
Molar specific heat, 38.17 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 29.86 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.28
Viscosity of gas (25°C, 1 atm)
0.01086 cp
Thermal conductivity of gas (25°C, 1 atm)
1.70 × 10-4 J/sec cm °C
Solubility in water (15°C, 1 atm)
0.0702 gmole/l
552 Semiconductor Safety Handbook Free energy of formation*
-210.4 kJ/gmole
DOT classification
2.1
DOT label
Flammable gas
UN Number
UN2454
CGA Connection
320
NFPA hazard identification
health flammability reactivity
Exposure limits
No occupational exposure limits established by OSHA, NIOSH or ACGIH
Target effects
Central nervous system depressant simple asphyxiant. Symptoms will appear based on rate of oxygen depletion. The following may occur, rapid respiration, incoordination, accelerated pulse, depressed sensations, faulty judgement. There is possibility of nausea, vomiting, convulsions, and death.
Reactivity
Dangerous fire hazard when exposed to heat or flame. Stable under normal conditions of temperature and pressure. Keep away from oxidizers. Decomposition products may include toxic and corrosive fumes of fluorides.
Protective equipment
Supplied air respirator in positive pressure mode with full face piece. For the gas protective clothing is not required. Wear face shield or goggles for eye protection.
Air release
Shut down ignition sources. Ventilate area with explosion/spark proof equipment. If possible, remove leak to exhausted enclosure. Test area for oxygen deficiency before re-entry.
Semiconductor uses
Etchant
U 4 0
Gases and Gas Equipment 553 6.24 Nitrogen Chemical Name
Nitrogen
Synonyms
Diatomic nitrogen
Chemical Formula
N2
CAS number
7727-37-9
Molecular Weight
14.0067
Boiling point (1 atm)
-195.8°C
Melting point
-210.0°C
Triple point temperature
-210.0°C
Triple point pressure
0.124 atm
Absolute density (25°C, 1 atm) 1.145 g/l Relative density (25°C, 1 atm)
0.967 (Air = 1)
Critical temperature
-146.9°C
Critical pressure
33.54 atm
Critical volume
3.216 × 10 -3 l/g
Critical density
311 g/l
Critical compressibility factor
0.292
Latent heat of fusion (210.2°C) 7209 J/gmole Latent heat of vaporization (-195.8°C)
5578 J/gmole
Molar specific heat, 28.98 J/gmole °C constant pressure (26.8°C, 1 atm) Molar specific heat, 20.6 J/gmole °C constant volume (26.8°C 1 atm) Specific heat ratio
1.41
Viscosity of gas (26.8°C, 1 atm) 0.01787 cp Thermal conductivity of gas (26.8°C, 1 atm)
2.59 × 10-4 J/sec cm °C
554 Semiconductor Safety Handbook Solubility in water (25°C, 1 atm)
6.07 × 10-4 gmole/l
Refractive index (25°C, 1 atm) 1.000273 Dielectric constant (20°C, 1 atm)
1.000548
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1066
CGA Connection
580, 718
NFPA hazard identification
health flammability reactivity
U 0 0
Exposure limits No occupational exposure limits established by OSHA, NIOSH, ACGIH. Target effects
Simple asphyxiant. Symptoms depend on rapidity of oxygen depletion. Symptoms to be expected include rapid pulse and respiration, dizziness, tingling sensations, rapid fatigue. As asphyxia progresses convulsions may develop, coma and death.
Reactivity
Stable under normal conditions of temperature and pressure.
Protective equipment
Self contained breathing apparatus in positive pressure mode. No other protective gear required for handling of the gas, use standard precautions and be aware of high pressure systems.
Air release
Ventilate area.
Semiconductor uses
Inerting gas.
6.25 Nitrogen Trifluoride Chemical Name
Nitrogen trifluoride
Chemical Formula
NF3
CAS number
7783-54-2
Molecular Weight
71.00
Gases and Gas Equipment 555 Boiling point (1 atm)
-129°C
Melting point
-209°C
Triple point temperature
-206.8°C
Absolute density (20°C, 1 atm) 2.96 g/l Relative density (20°C, 1 atm)
2.46 (Air = 1)
Critical temperature
-39.9°C
Critical pressure
44.72 atm
Critical volume
1.915 × 10 -3 l/g
Critical density
572 g/l
Critical compressibility factor
0.317
Latent heat of fusion (-206.8°C) 398 J/gmole Molar specific heat, 53.37 J/gmole °C constant pressure (25°C, 1 atm) Viscosity of gas (25°C, 1 atm)
0.0183 cp
Solubility in water
Reported as insoluble
Refractive index (25°C)
1.000442
Dielectric constant (20°C, 1 atm)
1.001275
Free energy of formation*
-90.02 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas, oxidizer
UN Number
UN2451
CGA Connection
330, 640
NFPA hazard identification
health flammability reactivity
Exposure limits
2 0 U
10 ppm OSHA TWA 10 ppm ACGIH TWA 2000 ppm immediately dangerous to life or health 7500 ppm/1hr monkey LC50
556 Semiconductor Safety Handbook Target effects
Vapors are irritating to the respiratory tract. There are indications that exposure may cause methemoglobinemia, cyanosis, dizziness, ataxia, dyspnea, collapse and convulsions. Chronic exposure may cause erosion of the teeth, skeletal changes, damage to liver and kidneys.
Reactivity
Strong oxidizer. Keep hydrocarbon based and reducing materials from contacting NF3. Decomposition may release fluorine.
Protective equipment
Provide local exhaust. Self contained breathing apparatus in positive pressure mode, full face piece. Impervious clothing and gloves should be worn, splash proof goggles and face shield also should be used to protect face and eyes.
Air release
If possible confine leak to exhausted enclosure. Dilute leak with substantial amounts of air to reduce concentration to below 10 ppm as a goal. Keep people away from plume.
Semiconductor uses
Etchant
6.26 Nitrous Oxide Chemical Name
Nitrous oxide
Synonyms
Dinitrogen monoxide, laughing gas
Chemical Formula
N2O
CAS number
10024-97-2
Molecular Weight
44.013
Boiling point(1 atm)
-88.5°C
Triple point temperature
-90.8°C
Vapor pressure (21.1°C)
51.7 atm
Absolute density (25°C, 1 atm) 1.812 g/l Relative density (25°C, 1 atm)
1.53 (Air = 1)
Critical temperature
36.4°C
Gases and Gas Equipment 557 Critical pressure
71.6 atm
Critical volume
2.21 × 10-3 l/g
Critical density
452.5 g/l
Critical compressibility factor
0.274
Latent heat of fusion (-90.8°C) 6540 J/gmole Latent heat of vaporization (-88.5°C)
16.55k J/gmole
Molar specific heat, 38.63 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 29.65 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.30
Viscosity of gas (25°C, 1 atm)
0.0145 cp
Thermal conductivity of gas (25°C, 1 atm)
1.67 × 10-4 J/sec cm °C
Solubility in water
0.0240 gmole/l
Refractive index (25°C, 1 atm) 1.000465 Dielectric constant (0°C)
1.00113
Free energy of formation*
104.17 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1070
CGA Connection
326, 712
NFPA hazard identification
health flammability reactivity
Exposure limits
50 ppm ACGIH TWA 25 ppm NIOSH TWA
*As an ideal gas
U 0 0
558 Semiconductor Safety Handbook Target effects
Simple asphyxiant and central nervous system depressant. Poisoning may affect the liver, kidneys and blood. Asphyxiant, narcotic, high concentrations without oxygen can cause headache, anoxia, cardiac arrhythmias, cerebral edema. Chronic exposure has resulted in loss of pain and temperature sensation, muscle weakness and other effects which may be permanent.
Reactivity
Stable under normal conditions of temperature and pressure. Keep away from reducing materials and high temperatures.
Protective equipment
Provide local exhaust. Self contained breathing apparatus in positive pressure mode should be utilized. Protective clothing and gloves are normally required when handling N2O in gaseous state. Use goggles for physical protection.
Air release
Keep from combustible materials. If possible remove leak to exhausted enclosure.
Semiconductor uses
Oxidizer
6.27 Oxygen Chemical Name
Oxygen
Chemical Formula
O2
CAS number
7782-44-7
Molecular Weight
15.9994
Boiling point (1 atm)
-183.0°C
Melting point
-218°C
Triple point temperature
-218°C
Triple point pressure
1.50 × 10-6 atm
Absolute density (25°C, 1 atm) 1.309 g/l Relative density (25°C, 1 atm)
1.105 (Air = 1)
Gases and Gas Equipment 559 Critical temperature
-118.6°C
Critical pressure
49.77 atm
Critical volume
2.294 × 10 -3 l/g
Critical density
436 g/l
Critical compressibility factor
0.288
Latent heat of fusion at -218.8°C
444.8 J/gmole
Latent heat of vaporization (-183°C)
6826 J/gmole
Molar specific heat, 29.43 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 20.82 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.41
Viscosity of gas (25°C, 1 atm)
0.02075 cp
Thermal conductivity of gas (25°C, 1 atm)
2.66 × 10-4 J/sec cm °C
Solubility in water (0°C, 1 atm) 2.18 × 10-3 gmole/l Refractive index (25°C, 1 atm) 1.000271 Dielectric constant (20°C, 1 atm) 1.000495 DOT classification
2.2
DOT label
Nonflammable gas, oxidizer
UN Number
UN1072
CGA Connection
540, 714
NFPA hazard identification
health flammability reactivity
Exposure limits
3 0 0
No occupational exposure limits have been established by OSHA, NIOSH, or ACGIH.
560 Semiconductor Safety Handbook Target effects
Respiratory effects include decrease in vital capacity, chest tightness, coughing, congestion, tracheobronchitis, pneumonia and edema among several other effects.
Reactivity
Stable under normal conditions of temperature and pressure. Avoid reducing agents. Oxygen is a strong oxidizer widening flammability limits of most materials and accelerates combustion.
Protective equipment
Provide general dilution ventilation. Self contained breathing apparatus for prolonged exposures.
Air release
Ventilate area. Remove combustibles.
Semiconductor uses
Oxidizer
6.28 Perfluoropropane Chemical Name
Perfluoropropane
Synonyms
Octafluoropropane
Chemical Formula
C3F8
CAS number
76-19-7
Molecular Weight
188.02
Boiling point
-36.7°C
Melting point
-183.0°C
Vapor pressure (21.1°C)
7.8 atm
Absolute density (20°C, 1 atm) 8.003 g/l Relative density (20°C, 1 atm)
6.69 (Air = 1)
Critical temperature
71.9°C
Critical pressure
26.45 atm
Critical volume
1.59 × 10-3 l/g
Critical density
629 g/l
Critical compressibility factor
0.279
Gases and Gas Equipment 561 Latent heat of vaporization (-40°C)
3722 J/gmole
Molar specific heat, 145.1 J/gmole °C constant pressure (10°C, 1 atm) Viscosity of gas (25°C, 1 atm)
0.01454 cp
Thermal conductivity of gas (25°C, 1 atm)
1.38 × 10-4 J/sec cm °C
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN2424
CGA Connection
660, 716
NFPA hazard identification
health flammability reactivity
U 0 0
Exposure limits
No occupational exposure limits have been established by OSHA, NIOSH, or ACGIH.
Target effects
Simple asphyxiant. Symptoms may include headache, sweating, malaise, tremors, incoordination.
Reactivity
Stable under normal conditions of temperature and pressure. Thermal de composition may release fluorine or organo fluorides.
Protective equipment
Self contained breathing apparatus operated in positive pressure mode. If contact with the liquid is a possibility wear cold insulating gloves and splash proof goggles and/or face shield.
Air release
Ventilate area. Stop leak if it can be done without risk. Remove to exhausted enclosure.
Semiconductor uses
Etchant
562 Semiconductor Safety Handbook 6.29 Phosphine Chemical Name
Phosphine
Synonyms
Hydrogen phosphide Phosphorated hydrogen
Chemical Formula
PH3
CAS number
7803-51-2
Molecular Weight
33.998
Boiling point (1 atm)
-87.7°C
Melting point
-133°C
Triple point temperature
-133.8°C
Vapor pressure (21.1°C)
40.67 atm
Absolute density (21.1°C)
1.405 g/l
Relative density (25°C)
1.184 (Air = 1)
Critical temperature
51.6°C
Critical pressure
65.48 atm
Critical volume
3.324 × 10-3 l/g
Critical density
300.5 g/l
Critical compressibility factor
0.274
Latent heat of fusion (-133.8°C) 1130 J/gmole Latent heat of vaporization (25°C)
6959 J/gmole
Molar specific heat, 37.16 J/gmole °C constant pressure (25°C, 1 atm) Viscosity of gas (0°C, 1 atm)
0.0100 cp
Thermal conductivity of gas (25°C, 1 atm)
1.63 × 10-4 J/sec cm °C
Solubility in water (17°C)
1.09 × 10-2 gmole/l
Flammable limits in air
<1%
Gases and Gas Equipment 563 Autoignition temperature
100°C
Refractive index (25°C, 1 atm) 1.00080 Free energy of formation*
25.41 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, flammable gas
UN Number
UN2199
CGA Connection
350, 632
NFPA hazard identification
health flammability reactivity
3 4 1
Exposure limits
0.3 ppm OSHA TWA, 1 ppm OSHA STEL 0.3ppmACGIHTWA,1ppm ACGIH STEL 500 lbs SARA 302 TPQ 100 lbs SARA 304 RQ 11 ppm/4 HR RAT LC50 200 ppm immediately dangerous to life or health
Target effects
Highly toxic by inhalation. Inhalation may cause respiratory irritation, epistaxis, chest pressure, dyspnea, and possible cyanosis. Additional effects include nausea, vomiting, anorexia, headache, weakness, ataxia, stupor, collapse, convulsions and coma. Liver damage, jaundice, fatal kidney damage, hemoglobinuria, can be expected. Chronic exposure may cause bronchitis, anemia, motor disturbances and skeletal injury has been reported.
Reactivity
May ignite spontaneously on contact with air. Highly reactive with oxidizers. Keep from all ignition sources.
Protective equipment
Self contained breathing apparatus in positive pressure mode, full face piece.
Air release
Shut down ignition sources. Isolate area. Stop leak if it can be done safely. Re move leak, when possible, to exhausted enclosure and direct exhaust to effective abatement system.
Semiconductor uses
m-type dopant
564 Semiconductor Safety Handbook 6.30 Phosphorous Pentafluoride Chemical Name
Phosphorous pentafluoride
Synonyms
Phosphorous fluoride, Pentafluorophosphane
Chemical Formula
PF5
CAS number
7647-19-0
Molecular Weight
125.966
Boiling point (1 atm)
-84.5°C
Melting point
-93.8°C
Vapor pressure (21.1°C)
28.2 atm
Absolute density (20°C, 1 atm) 5.372 g/l Relative density (20°C, 1 atm)
4.46 (Air = 1)
Critical temperature
19.0°C
Critical pressure
33.5 atm
Critical volume
1.604 l/g
Critical density
624 g/l
Critical compressibility factor
0.282
Latent heat of fusion (-93.8°C) 12.104 kJ/gmole Latent heat of vaporization (-84.5°C)
17.20 kJ/gmole
Molar specific heat, 83.96 J/gmole °C constant pressure (25°C, 1 atm) Solubility in water
Reacts
Refractive index (25°C, 1 atm) 1.00059 Dielectric constant
1.00206
Free energy of formation*
-1508 kJ/gmole
DOT classification
2.3
*As an ideal gas
Gases and Gas Equipment 565 DOT label
Poison gas
UN Number
UN2198
CGA Connection
330, 642
NFPA hazard identification
health flammability reactivity
U 0 2
Exposure limits
No occupational exposure limit have been established by OSHA, NIOSH, or ACGIH
Target effects
Poisoning may effect the skeleton, kidneys, and central nervous system. Respiratory tract irritation, coughing, choking, and burns of the mucous membranes can be expected. Pulmonary edema may develop immediately or after a latency of 5–72 hours. Additional symptoms include chest tightness, dyspnea, cyanosis, rapid pulse, and hypotension. Death can result from severe exposures.
Reactivity
May react violently on contact with water. Reacts with organic materials and reducing chemicals.
Protective equipment
Self contained breathing apparatus operated in positive pressure mode. Impervious clothing and gloves should be worn to avoid skin contact. Splash proof goggles and face shield should be used to prevent eye and face exposure.
Air release
Ventilate area, stop leak if this can be done without risk. Remove leak to exhausted enclosure, heavily dilute with air and direct exhaust to water scrubber; caution, PF5 reacts violently with water: dilute significantly before contact.
Semiconductor uses
Dopant
566 Semiconductor Safety Handbook 6.31 Selenium Hexafluoride Chemical Name
Selenium hexafluoride
Synonyms
Selenium fluoride
Chemical Formula
SeF6
CAS number
7783-79-1
Molecular Weight
192.95
Boiling point
-34.5°C
Melting point
-39°C
Vapor pressure (20°C)
27.93 atm
Solubility in water
Slowly hydrolyzes
DOT classification
2.3
DOT label
Poison gas
UN Number
UN2194
CGA Connection
670
Exposure limits
0.05 ppm ACGIH TWA
Target effects
Nasal irritation, nausea, vomiting, dizziness result from exposure. Chronic exposure may result in kidney and liver damage. Profound hypocalcemia and hypomagnesemia occur following inhalation or skin contact.
Reactivity
Upon contact with water may release HF. Avoid contact with strong acids or reducing materials such as hydrocarbons.
Protective equipment
Self contained breathing apparatus in positive pressure mode. Gloves and splash proof goggles with face shield should be worn.
Air release
Ventilate area, keep persons away. Re move leak to exhausted enclosure direct toward suitable adsorbent or scrubber.
Gases and Gas Equipment 567 6.32 Silane Chemical Name
Silane
Synonyms
Silicon tetrahydride
Chemical Formula
SiH4
CAS number
7803-62-5
Molecular Weight
32.118
Boiling point (1 atm)
-111.5°C
Melting point
-118°C
Absolute density (20°C, 1 atm) 1.342 g/l Relative density (20°C, 1 atm)
1.114 (Air = 1)
Critical temperature
-3.4°C
Critical pressure
47.8 atm
Critical volume
4.132 × 10 -3 l/g
Critical density
242 g/l
Critical compressibility factor
0.287
Latent heat of vaporization (-111.5°C)
12.39 kJ/gmole
Molar specific heat, 42.84 J/gmole °C constant pressure (25°C, 1 atm) Viscosity of gas (25°C, 1 atm)
0.01092 cp
Solubility in water
Negligible
Flammable limits in air
1% to <100%
Autoignition temperature
<20°C
Free energy of formation*
55.16 kJ/gmole
DOT classification
2.1
DOT label
Flammable gas
UN Number
UN2203
*As an ideal gas
568 Semiconductor Safety Handbook
CGA Connection
350, 632
NFPA hazard identification
health flammability reactivity
1 4 2
Exposure limits
5 ppm OSHA TWA 5 ppm ACGIH TWA 9600 ppm/4 HRS RAT LC50
Target effects
Moderately toxic by inhalation. Acute exposure may cause mucous membrane irritation, headache and nausea.
Reactivity
Silane is pyrophoric and likely will ignite spontaneously on contact with air. Avoid contact with oxidizers.
Protective equipment
Self contained breathing apparatus in positive pressure mode, full face piece. Fire resistant clothing should be worn if there is any possibility of contact with gas. Full face shield and fire proof hood should be worn to avoid potential burns.
Air release
Shut off leak if it can be done safely. If gas has not ignited, shut down ignition sources and avoid area. Ventilate area with explosion proof equipment.
Semiconductor uses
Production of polycrystalline silicon and epitaxial deposition of single crystal films. Also, chemical vapor deposition of the oxide and alloyed films.
6.33 Silicon Tetrachloride Chemical Name
Silicon tetrachloride
Synonyms
Tetrachlorosilane, tetrachlorosilicon
Chemical Formula
SiCl4
CAS number
10026-04-7
Molecular Weight
169.89
Boiling point
58°C
Melting point
-70°C
Gases and Gas Equipment 569 Vapor pressure (5°C)
0.13 atm
Latent heat of vaporization
28.44 kJ/gmole
Molar specific heat, constant pressure
33.98 J/gmole °C
Solubility in water
Decomposes
DOT classification
8
DOT label
Corrosive
UN Number
UN1818
CGA Connection
642
NFPA hazard identification
health flammability reactivity
2 0 2
Exposure limits
No occupational exposure limits established by OSHA, NIOSH, or ACGIH. 8000 ppm/4 HRS RAT LC50
Target effects
Acute exposure may cause sore throat, coughing, shortness of breath, and pulmonary edema. Chronic exposure may cause bronchial irritation, cough and bronchial pneumonia.
Reactivity
Reacts with water to liberate HCl gas. Reacts with alcohols to liberate HCl and will corrode metals in the presence of moisture.
Protective equipment
Wear self contained breathing apparatus operated in positive pressure mode with full face piece. Wear impervious clothing to avoid all contact with skin. Use full face shield, splash proof goggles and gloves.
Air release
Keep combustibles away from any leaks. Use water spray from distance to reduce spread of vapors; caution, resultant
570 Semiconductor Safety Handbook solution is corrosive. Remove leak to evacuate enclosure if possible. Direct exhaust to suitable scrubber. Semiconductor uses
Used in the manufacture of special glasses and optical wave guides.
6.34 Silicon Tetrafluoride Chemical Name
Silicon tetrafluoride
Synonyms
Tetrafluorosilane
Chemical Formula
SiF4
CAS number
7783-61-1
Molecular Weight
104.08
Boiling pointat 2.4 atm
-90.2°C
Melting point
-95.7°C
Absolute density (20°C, 1 atm) 4.37g/l Relative density (20°C, 1 atm)
3.63(Air = 1)
Critical temperature
-14.2°C
Critical pressure
36.66 atm
Latent heat of fusion (-95.7°C) 9510 J/gmole Latent heat of vaporization (-90.3°C, 1.73 atm)
18661 J/gmole
Molar specific heat, constant pressure*
73.49 J/gmole °C
Free energy of formation*
-1572 kJ/gmole
DOT classification
2.3
DOT label
Poison gas, corrosive
UN Number
UN1859
CGA Connection
330, 642
*As an ideal gas
Gases and Gas Equipment 571
NFPA hazard identification
health flammability reactivity
U 0 1
Exposure limits
2.5 mg(F)m3 OSHA TWA and ACGIH TWA 2.5 mg(F)/m3 NIOSH recommended 10 hour TWA
Target effects
Severe eye mucous membrane and skin irritant. Chronic fluoride poisoning affects the skeletal system. 500 mg(F)/m3 is immediately dangerous to life and health. Acute exposure may cause severe mucous membrane irritation, with inflammation of the lungs. Pulmonary edema and cardiovascular collapse should be expected. Chronic exposure can lead to weight loss, anemia, joint stiffness and skeletal changes.
Reactivity
Reacts with water to generate HF acid and fumes. Keep from reducing materials.
Protective equipment
Self contained breathing apparatus operated in positive pressure mode, full face piece. Wear impervious clothing, splash proof goggles, face shield and gloves to prevent any possibility of contact.
Air release
Contain leak if possible and direct to suitable scrubber system. If leak cannot be contained, use water spray from a distance to reduce fumes. Caution, resultant solution is highly corrosive.
Semiconductor uses
Silicon source material.
6.35 Sulfur Hexafluoride Chemical Name
Sulfur hexafluoride
Synonyms
Sulfur fluoride, Elegas
Chemical Formula
SF6
CAS number
2551-62-4
Molecular Weight
146.05
572 Semiconductor Safety Handbook Boiling point
-63.8°C
Melting point (22 atm)
-50.8°C
Vapor pressure (21.1°C)
21.27 atm
Absolute density (20°C, 1 atm) 6.16g/l Relative density (20°C, 1 atm)
5.11 (Air = 1)
Critical temperature
45.55°C
Critical pressure
37 atm
Critical volume
1.356 × 10-3 l/g
Critical density
734 g/l
Critical compressibility factor
0.267
Latent heat of fusion (-50.8°C, 2.2. atm)
5024 J/gmole
Latent heat of vaporization (20°C)
9216 J/gmole
Molar specific heat, 97.85 J/gmole °C constant pressure (21.1°C, 1 atm) Viscosity of gas (25°C, 1 atm)
0.0156 cp
Thermal conductivity of gas (30°C, 1 atm)
1.41 × 10-4 J/sec cm °C
Solubility in water (25°C)
2.21 × 10- mole/l
Refractive index (0°C, 1 atm)
1.000783
Dielectric constant (25°C, 1 atm) 1.002049 Free energy of formation*
-1117 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1080
CGA Connectio
590, 716
NFPA hazard identification
health flammability reactivity
1 0 0
Gases and Gas Equipment 573 Exposure limits
1000 ppm OSHA TWA 1000 ppm ACGIH TWA
Target effects
Simple asphyxiant. In asphyxia unconsciousness can be immediate. When it develops over time, symptoms can include heavy breathing, rapid pulse rate, fatigue, faulty judgement, nausea, vomiting, fainting.
Reactivity
Stable under normal conditions of temperature and pressure. Decomposition may release fluorine, lower fluorides of sulfur and sulfur oxides depending upon conditions.
Protective equipment
Provide general ventilation. Use supplied air respirator operated in pressure demand or positive pressure mode. If there is opportunity for contact with liquid then splash proof goggles, face shield, gloves, and impervious clothing should be worn.
Air release
Ventilate area. Disperse gas from low lying areas where it may collect. Stop leak if it can be done without risk.
Semiconductor uses
Plasma etchant.
6.36 Tetrafluoromethane Chemical Name
Tetrafluoromethane
Synonyms Halocarbon
Carbon tetrafluoride, perfluoromethane,
Chemical Formula
CF4
CAS number
75-73-0
Molecular Weight
88.01
Boiling point (1 atm)
-128°C
Melting point (1 atm)
-186.8°C
Absolute density (0°C, 1 atm)
3.946 g/l
Relative density (0°C, 1 atm)
3.05 (Air = 1)
Critical temperature
-45.6°C
574 Semiconductor Safety Handbook Critical pressure
36.9 atm
Critical volume
1.59 × 10-3 l/g
Critical density
629 g/l
Critical compressibility factor
0.276
Latent heat of fusion (-187°C)
699.6 J/gmole
Latent heat of vaporization (-128°C)
11.96k J/gmole
Molar specific heat, 61.27 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, 52.95 J/gmole °C constant volume (25°C, 1 atm) Specific heat ratio
1.16
Viscosity of gas (25°C, 1 atm)
0.0170 cp
Thermal conductivity of gas (25°C, 1 atm)
1.80 × 10-4 J/sec cm °C
Solubility in water
1.70 × 10-4 gmole/l
Dielectric Constant (24.5°C, 0.5 atm)
1.0006
Free energy of formation*
-888.5 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1982
CGA Connection
580, 716
NFPA hazard identification
health flammability reactivity
Exposure limits
*As an ideal gas
1 0 0
No occupational exposure limits established by OSHA, NIOSH, or ACGIH. 895000 ppm/15 min. RAT LCLO
Gases and Gas Equipment 575 Target effects
Simple asphyxiant. Acute, high concentrations may cause hypoxia, dizziness, narcosis, nausea and vomiting.
Reactivity
Stable under normal conditions of temperature and pressure. Decomposition products may include fluorine or hydrofluoric acid depending on conditions.
Protective equipment
For high concentrations self contained breathing apparatus operated in positive pressure mode. If contact with the liquid is a possibility, then splash proof goggles, gloves, and impervious clothing should be worn to prevent against vaporizing liquid.
Air release
Ventilate area. Do not enter areas of large leaks without protective gear.
Semiconductor uses
Etchant.
6.37 Trichlorofluoromethane Chemical Name
Trichlorofluoromethane
Synonyms
Fluorotrichloromethane, Halocarbon, Fluorochloroform
Chemical Formula
CFCl3
CAS number
75-69-4
Molecular Weight
137.37
Boiling point (1 atm)
23.8°C
Melting point
-111°C
Vapor pressure (21.1°C)
0.91 atm
Absolute density (23.8°C, sat. vapor)
5.85 g/l
Critical temperature
198°C
Critical pressure
43.5 atm
Critical volume
1.806 × 10 -3 l/g
576 Semiconductor Safety Handbook Critical density
554 g/l
Critical compressibility factor
0.279
Latent heat of fusion (-111°C)
6897 J/gmole
Latent heat of vaporization (23.8°C)
25.0k J/gmole
Molar specific heat, 77.61 J/gmole °C constant pressure (25°C, 1 atm) Molar specific heat, constant volume
68.32 J/gmole °C
Specific heat ratio
1.14
Viscosity of gas (23.9°C, 1 atm) 0.0105 cp Thermal conductivity of gas (23.9°C, 1 atm)
7.57 × 10-5 J/sec cm °C
Solubility in water (4.4°C)
1.06 × 10-2 gmole/l
Refractive index (21.1°C, sat. vapor)
1.00125
Dielectric constant (21.1°C, 0.5 atm)
1.0026
Free energy of formation*
-249 kJ/gmole
DOT classification
2.2
DOT label
Nonflammable gas
UN Number
UN1078
CGA Connection
660, 716
NFPA hazard identification
health flammability reactivity
Exposure limits
2 0 0
1000 ppm OSHA ceiling 1000 ppm ACGIH ceiling 5000 lbs CERCLA Sec. 103 RQ 10000 ppm immediately dangerous to life and health 13 pph/15 min RAT LC50
Gases and Gas Equipment 577 Target effects
Acute exposure may cause irritation of nose, throat, upper respiratory tract, bronchospasms. Higher concentrations may cause central nervous system depression, headache dizziness, tinnitus and tremors. Severe exposure can result in nausea, vomiting, unconsciousness, coma, cardiac arrythymias and death.
Reactivity
Stable under normal conditions of temperature and pressure. May attack some plastics and rubber. There is a potential explosion hazard with aluminum. Decomposition may yield corrosive acid gases and phosgene.
Protective equipment
Self contained breathing apparatus operating under positive pressure mode. If contact with the liquid is a possibility then impervious clothing, gloves, and splash proof goggles should be worn.
Air release
Ventilate area. Keep unnecessary personnel away. Stop leak if it can be done without risk. Remove leak to exhausted enclosure and direct away from occupied areas.
Semiconductor uses
Etchant
6.38 Trichlorosilane Chemical Name
Trichlorosilane
Synonyms
Silicochloroform, Trichloromonosilane
Chemical Formula
SiHCl3
CAS number
1025-78-2
Molecular Weight
135.45
Boiling point
31.8°C
Melting point
-128.2°C
Vapor pressure (14.6°C)
0.53 atm
Latent heat of vaporization
26.47 kJ/gmole
Solubility in water
Reacts
578 Semiconductor Safety Handbook Flammable limits in air
1.2%–90%
Autoignition temperature
104°C
DOT classification
4.3
DOT label
Dangerous when wet, flammable liquid, corrosive
UN Number
UN1295
NFPA hazard identification
health flammability reactivity
2 4 2
Exposure limits
No occupational exposure limits have been established by OSHA, NIOSH, or ACGIH 1000 ppm/4 HRS RAT LCLO
Target effects
Trichlorosilane is a severe eye, skin and mucous membrane irritant. Poisoning may affect the blood. Acute exposure may cause coughing, choking headache, weakness, hypotension, pulmonary edema and cyanosis. Convalescence may be prolonged with frequent relapses. Chronic exposure may cause erosion of the teeth and jaw necrosis, bronchial pneumonia and gastrointestinal disturbances.
Reactivity
Vapor air mixtures can be explosive. Reacts violently with water. Keep from oxidizers and combustible materials.
Protective equipment
Self contained breathing apparatus operated in positive pressure mode with full face piece. Protective clothing should include splash proof goggles with full face shield, gloves and impervious garments.
Air release
Stop leak if it can be done without risk. Remove leak to exhausted enclosure and direct diluted exhaust to appropriate abatement system.
Semiconductor uses
Production of semiconductor grade silicon.
Gases and Gas Equipment 579 6.39 Tungsten Hexafluoride Chemical Name
Tungsten hexafluoride
Synonyms
Tungsten fluoride
Chemical Formula
WF6
CAS number
7783-82-6
Molecular Weight
297.84
Boiling point
17°C
Melting point
2.3°C
Relative density
12.9 (Air = 1)
Solubility in water
Hydrolyzes
DOT classification
2.3
DOT label
Poison gas
UN Number
UN2196
CGA Connection
670, 638 health flammability reactivity
NFPA hazard identification
3 0 1
Exposure limits
2.5 mg(F)/m3 OSHA TWA 2.5 mg(F)/m3 ACGIH TWA 2.5 mg(F)/m3 NIOSH TWA 5.0 mg(W)/m3 NIOSH TWA 500 ppm immediately dangerous to life and health
Target effects
Severe eye, mucous membrane and skin irritant. Fluoride poisoning affects the digestive, circulatory, respiratory systems and the central nervous system. Upon contact with moisture WF6 readily hydrolyzes thus it is extremely irritating to throat, nose, and respiratory tract and may cause pulmonary edema. High level exposure can be fatal. Fluoride may bind with body Ca and Mg inhibiting enzyme systems.
580 Semiconductor Safety Handbook Reactivity
Releases HF upon contact with water. Decomposition may release toxic and corrosive fumes of fluorine.
Protective equipment
Self contained breathing apparatus operated in positive pressure mode with full face piece. Impervious clothing should be worn to prevent skin contact as well as gloves and splash proof goggles, and a full face shield.
Air release
Stop leak if it can be accomplished without risk. If possible, remove leak to exhausted enclosure and direct exhaust to suitable scrubber media. If leak can not be contained, apply water spray from a distance to minimize dispersal of gas but note that reaction is highly exothermic and generates corrosive and toxic HF; resultant solution is corrosive.
Semiconductor uses
Chemical vapor deposition.
BIBLIOGRAPHY Stacey, et al, Advances in Fluorine Chemistry, Vol. 4, Butterworths (1965) Braker, Mossman, Matheson Gas Data Book, Sixth Edition (1980) Othmer, K., Encyclopedia of Chemical Technology, Third edition, John Wiley (1984) Hazardous Materials Regulations of the Department of Transportation, BOE6000-K, (May 3, 1991) Threshold limit Values and Biological Exposure Indices, ACGIH (1991–1992) Handbook of Compressed Gases, Compressed Gas Association, 3rd Edition (1990) Gas Encyclopedia, L’Air Liquide Elsevier (1976) Registry of Toxic Effects of Chemical Substances, 1983-84 Cumulative supplement to the 1981-82 edition, NIOSH Selected Values of Chemical Thermodynamic Properties, Technical note, 2703 U.S. Dept. of Commerce, January (1968)
Toxic Gas Monitoring 581
11 Toxic Gas Monitoring Paul C. Manz
1.0
REQUIREMENT FOR CONTINUOUS TOXIC GAS MONITORING
1.1
Introduction
A large number of toxic and hazardous gases are used in semiconductor manufacturing operations around the world. Materials like arsine, diborane, phosphorous pentaflouride, chlorine, fluorine, hydrogen chloride, and silane are essential to a variety of electronic device fabrication processes. Typical processes utilizing these materials include chemical vapor deposition, ion implantation, reactive ion etching, and sometimes even photolithography. The use of these gaseous materials are not limited to only silicon-based IC production facilities. They can also be found in private and public sector research and development facilities investigating a broad range of advanced electronic device technologies such as optoelectronics, vacuum electronics, flat panel displays, and microwave/millimeter-wave solid state devices. Since these gases are hazardous to both human beings and the environment, stringent regulations have been developed (and will continue to be developed) which govern their transportation, storage, use, and 581
582 Semiconductor Safety Handbook disposal. Among these mandatory rules is the requirement for continuous toxic gas monitoring.
1.2
Brief History
Throughout the 1980’s, public safety and code officials increasingly recognized the dangers and health risks associated with the hazardous materials used in the high technology and semiconductor fields. In order to prevent, control, and mitigate dangerous conditions relating to the large quantities of highly hazardous and toxic materials used by these industries, major revisions and additions to national and local fire and building codes were made. The majority of these code revisions and additions took their roots from actions initiated by local fire and safety officials in “Silicon Valley” during the early 1980’s. In 1982, the City of San Jose began enforcing fire and building codes which addressed specific aspects of semiconductor wafer fabrication facilities. The City of Santa Clara passed a Model Ordinance, commonly known as the “Green Book,” specifying building codes for semiconductor operations.[1] Larger regional code administrators, including the International Conference of Building Officials (ICBO), the Western Fire Chiefs Association (WFCA), the Building Officials and Code Administrators International (BOCA), and the Southern Building Code Congress International (SBCCI), began developing fire and building code requirements for semiconductor wafer fabrication facilities using hazardous production materials. Code modifications resulting from these local and regional efforts included Article 51 and Article 80 of the Uniform Fire Code (UFC), Section 603 of the BOCA National Building Code, Chapter 9 of the Uniform Building Code (UBC), and the Toxic Gas Model Ordinance. The Toxic Gas Model Ordinance was written by the Santa Clara County Fire Chief’s Association to serve as a accompaniment to UFC Article 80. It required that “All new or existing storage, use or handling of toxic gases shall...provide a monitoring and detection system.... Such systems shall be capable of sensing the presence of toxic gases at or below TLV-TWA levels.... Audible alarms shall be located within the local storage and use areas...and activate when the concentration of toxic gas exceeds the TLV-TWA level in occupied areas or one-half the IDLH level in unoccupied areas.......alarms shall transmit to an emergency control station....” It defined a monitoring system as “a continuously operating gas
Toxic Gas Monitoring 583 detection system where an analytical instrument is maintained in operation and samples are taken at appropriate points and analyzed without interruption for the presence of toxic gases.”[2] Similarly, the Revisions to UFC Article 80 required that “a continuous gas-detection system shall be provided to detect the presence of gas at or below the permissible exposure limit or ceiling limit. The detection system shall initiate a local alarm and transmit a signal to a constantly attended control station. The alarm shall be both visual and audible and shall be designed to provide warning both inside and outside of the storage area. The audible alarm shall be distinct from all other alarms.” It defined a continuous gas detection system as “a gas-detection system where the analytical instrument is maintained in continuous operation and sampling is performed without interruption. Analysis may be performed on a cyclical basis at a frequency not to exceed 30 minutes.”[3] While various codes may differ in definition and/or scope, there is a recurring requirement for continuous gas detection by a toxic gas monitoring system (TGMS).
2.0
PURPOSE OF A TOXIC GAS MONITORING SYSTEM
The primary purpose of a gas detection system is to continuously monitor an area for the presence of hazardous and toxic gases at or below a specific concentration limit, and if necessary, warn personnel through both visual and audible alarms prior to the onset of a life threatening condition.[4] There are several key terms identified in this single summary statement that must be understood. • Continuous monitoring means uninterrupted monitoring, occurring in real-time, providing almost instantaneous measurement feedback. It should be noted that air sampledraw tubes and other short term monitoring techniques used by Industrial Hygienists and safety professionals to assess air quality and contamination do not meet the criteria of continuous monitoring under these code definitions and will not be discussed in this chapter. • Area refers to any physical space or location where there is a potential for a gas leak. It includes both “occupied” areas, like laboratories routinely used by researchers or cleanroom
584 Semiconductor Safety Handbook fabs containing process operators, and “unoccupied” areas, like gas supply rooms which are infrequently visited by support personnel. It can be a confined space, such as the inside a gas cabinet or furnace end station, or an open area, such as the work space around an ion implanter or service area which contains diffusion pumps and exhaust lines for a chemical vapor deposition (CVD) system.[5] • Specific concentration limit refers to a critical gas concentration limit that should not be exceeded. This limit can be a Threshold Limit Value (TLV), Time Weighted Average (TWA), Permissible Exposure Limit (PEL), Short Term Exposure Limit (STEL), Lower Explosive Limit (LEL) or Immediate Danger to Life and Health (IDLH) limit. These limits can be defined in terms of percent volume (%), parts per million (PPM), or even parts per billion (PPB)! Table 1 lists hazards and exposure limits for several common hazardous gases found in the electronics industry. Critical gas concentration limits may be mandated by environmental, health and safety statutes,[6] regional or local codes, local code enforcement officials, internal risk management personnel, or an established organizational policy. • Personnel refers to anyone who could be exposed to hazardous or toxic gases if a gas leak occurs. These people might include researchers working in a laboratory, process engineers and technicians working in a cleanroom fab, equipment specialists performing corrective or preventative maintenance, facility support personnel changing a gas cylinder, emergency response personnel such as HAZMAT team members and security guards, or any other personnel who might be affected in the immediate or nearby, adjacent areas. • Visual and audible alarms refers to whatever type of appropriate human sensory inputs that are required in a specific area to gain the attention of personnel and inform them that a hazardous condition exists. This usually means alarms in the form of bright flashing or highly visible strobe lights, distinctive sounding horns, buzzers, or sirens, or combination of any of the above. In cleanroom areas, where air velocity noise and full hood or helmet garment protocol
Toxic Gas Monitoring 585 can make hearing difficult, visual alarms may provide the first warning. Similarly, in support areas where equipment specialist may be underneath or inside enclosures while performing maintenance, audible alarms may provide the first warning.
Table 1. Hazards and Exposure Limits for Common Gases Used in Electronic Device Processing. (Reprinted with permission from Semiconductor International.) TLV-TWA/C in PPM or other caution from ACGIH**
Chemical Formula
Potential Hazard Classification*
Ammonia
NH3
F,C
25
Argon
Ar
I
Asphyxiant
Arsenic pentafluoride
ASF5
T
TLV not listed
Arsine
AsH3
T,F
0.05
Boron trichloride
BCl3
T,C
TLV not listed
Boron trifluoride
BF3
T,C
TLV not listed
Chlorine
Cl2
T,C
1
Diborane
B2H6
T,F,P
0.1
Dichlorosilane
H2SiCl 2
F,C
TLV not listed
Fluorine
F2
T,C,F
1
Germane
GeH4
T,F
TLV not listed
Hydrogen
H2
F
Asphyxiant
Hydrogen chloride
HCl
C
5
Hydrogen fluoride
HF
T,C
3
Gas
(Cont’d next page)
586 Semiconductor Safety Handbook Table 1. (Cont’d) TLV-TWA/C in PPM or other caution from ACGIH**
Chemical Formula
Potential Hazard Classification*
Hydrogen sulfide
H2S
T,F
10
Methyl Chloride
CH3Cl
F
50
Nitric oxide
NO
T,O
25
Nitrous oxide
N2O
O
TLV not listed
Nitrogen fluoride
NF3
T
10
Phosphine
Ph3
T,F,P
0.3
Phosphorous pentafluoride
PF5
T,C
TLV not listed
Silane
SiH4
P,F
5
Sulfur hexafluoride
SF6
I
1000
Gas
*From Semi-Gas Systems Gas Reference Guide 1986: F=flammable, C=corrosive, I=Inert, T=toxic, P=poison, and O=oxidizer. **From Threshold Limit Values and Biological Exposure Indices for 1986–1987, American Conference on Governmental Industrial Hygienists (ACGIH). (In this publication the ACGIH issues strictly caution on the use of TLV values, for example, they should not be used by anyone untrained in the discipline of industrial hygiene.)
In addition, the gas detection system should also provide meaningful annunciation and appropriate recordkeeping. An alarm situation should be instantly recognizable as to its type, location and severity. Contaminated areas should be accurately identified, their entrances and exits clearly marked, and safe exit paths designated. Trend information, malfunction data, and service history should be readily available for analysis so that appropriate response action can be taken.[7]
Toxic Gas Monitoring 587 3.0
FUNCTIONAL MODEL OF A TOXIC GAS MONITORING SYSTEM
A basic gas detection system can be broken down into several distinct functional components as shown in Fig. 1: 1. Transport of Air Sample 2. Analysis of Air Sample 3. Identification of Target Gas Concentration 4. Comparison of Concentration to Alarm Setpoints 5. Actions to Alarm Conditions
AIR SAMPLE
↓ TRANSPORT
↓ ANALYSIS
↓ IDENTIFICATION
↓ ALARM
↓ ACTIONS
Figure 1. Five functional components of a basic gas detection system.
588 Semiconductor Safety Handbook 3.1
Transport
The transport functional component represents how an air sample from the monitored area atmosphere is transported to the gas detection analyzer. The majority of present-day gas detectors use a sample draw line technique.[8] An air pump, usually integrated in the gas detector system package, draws a sample of air through an open-ended tube located in the monitored area, to the analysis instrument. The tubing can be a variety of diameters and lengths. The diameter of the tubing must be compatible with the gas detector intake port and air pump. Larger tube diameters reduce flow restriction and air pump loading. Typical sample draw lines have internal diameters of ¼-inch to ½-inch. The maximum allowable length of a sample draw line is dependent on tube diameter, air flow restriction, and air pump capacity. Single-point gas detectors, located in the immediate monitored area, may have a single sample draw line with a length of less than a few inches. Remotely located multi-point gas detectors, may have several sample draw lines each with a length of up to 1000 feet.[9] It is important to remember is that a long sample draw line increases the overall gas detection system response time. Also, the target gas (i.e., the gas of interest being monitored) should not react with the sample draw line tubing material. Some gas detectors rely on other transport techniques, different than a sample draw system, to deliver the air sample to the analysis instrument. For example, a single-point electrochemical diffusion sensor, located in the immediate monitored area, might use a simple chemical isolation diffusion barrier. Monitored gases diffuse through the gas-specific membrane and enter the electrochemical cell for analysis. Whatever method is used, once an air sample is transported to the gas detector, it must be analyzed for the presence of a target gas.
3.2 Analysis The analysis functional component represents the gas detection principle or technique used by the gas analyzer to determine if a specific target gas is present in the air sample. There are many different types of gas detection techniques which can be employed to identify a target gas, but all gas detection techniques may not be appropriate for detecting certain target gas species or specific low-level target gas concentrations. Some gas detection techniques can analyze only a single gas while other technologies can analyze several gases simultaneously. Gas detectors, both single and
Toxic Gas Monitoring 589 multi-point, can be further categorized into these two additional subgroups which are sometimes referred to as single gas analyzers and multi-gas analyzers, respectively. Gas Detection Principles. Some of the more common gas detection principles used in toxic gas monitoring include electrochemistry, electrooptical detection, solid state detection, mass spectrometry, molecular (or flame) emission spectrometry, infrared spectrophotometry, ionization techniques, and thermal conductivity. Brief descriptions of several different gas detection technologies are described below. Electrochemical Cell. As shown in Fig. 2, an electrochemical cell, consisting of two electrodes immersed in a common electrolytic medium, is separated from the outside world by an isolation barrier. Typical electrolytic mediums include an electrolytic liquid, an electrolytic fluid of gel-like consistency, or a porous solid which is impregnated and saturated with an electrolytic solution. Typical isolation barriers include a gas-specific permeable membrane, a diffusion medium, or a capillary diffusion barrier. A voltage is impressed across the two electrodes in the cell. A target gas enters the cell through the isolation barrier and causes an oxidation-reduction reaction to occur on the electrodes. The result is a small electrical current proportional to the partial pressure of the target gas in the ambient air. The electrochemical cell, isolation barrier, electrolytic medium, and electrodes are designed for maximum sensitivity to the target gas with minimum interference from other gases or chemical vapors which might be present. Paper Tape. A chemically impregnated, detection tape is continually fed past and exposed to air samples from a sample draw line as shown in Fig. 3. The tape is specially formulated to chemically react only in the presence of a target gas. When the tape is exposed to a target gas, the tape changes color in direct proportion to the amount of gas present. This color change becomes increasingly dark for higher concentrations of a target gas. An electro-optical detection system continually measures this color change by the amount of light reflected off the tape. The photo-response is proportional to the partial pressure of the target gas in the ambient air. Solid State. A solid-state sensor consists of two electrodes imbedded into a metal oxide material as shown in Fig. 4. Operating at a constant power, the sensor has a fixed resistance between the two electrodes. When the target gas is present, it causes a change in resistance of the solid-state material proportional to the target gas concentration. The effects of interferent gases and chemical vapors are minimized through the selection of the metal-oxide sensor material and sensor fabrication techniques. A
590 Semiconductor Safety Handbook heating source is used to maintain a constant surface temperature on the metal oxide material and eliminate resistance changes due to variations in external temperatures.
Figure 2. Enlarged cross-sectional view of an electrochemical sensor. (Reprinted with permission from the Semiconductor Safety Association.)
Figure 3. Paper-tape detector head schematic. Semiconductor Safety Association and MDA.)
(Reprinted with permission from the
Toxic Gas Monitoring 591
Figure 4. Cross-sectional and enlarged view of a metallic oxide semiconductor (MOS) solid-state detector in a silicon wafer. (Reprinted with permission from the Semiconductor Safety Association.)
Mass Spectrometry. As shown in Fig. 5, mass spectrometry detectors use a controlled sample draw line system in conjunction with a mass spectrometer analyzer. A specific air sample volume enters the system’s ionization chamber. The sample molecules are bombarded by electrons, and fragmented into ions. These ions are transported in a beam by electrostatic and magnetic fields and focused in both energy and angle spread. The ions are dispersed according to their mass-to-charge ratio, and directed to either a Faraday cup collector or high sensitivity electron multiplier detector. The resulting intensities at the collector and detector directly correspond to the compound concentrations being analyzed and mass spectra can be used to determine compound identities. Knowledge of all compounds routinely present in the monitored area atmosphere is essential to avoid false readings from interferents. Molecular Emission Spectrometry (or Flame Emission Spectrometry). As shown in Fig. 6, an air sample from a sample draw line is introduced into a reaction chamber, where it is mixed with hydrogen gas and activated through combustion. Chamber conditions are set to raise the sample gas molecules to excited energy states and give off light of specific wavelengths. These wavelengths are characteristic of excited gas molecule compounds. All wavelengths of light, except the specific target gas wavelengths, are eliminated using predetermined optical filters. A photomultiplier tube interprets the intensity of the target gas radiation
592 Semiconductor Safety Handbook which is proportional to the compound concentration being analyzed. The choice of specific wavelength optical filters can minimize the effects from interferent gases.
Figure 5. Diagram of a mass spectrometer showing ionization of gas sample molecules. (Reprinted with permission from the Semiconductor Safety Association and Perkin-Elmer.)
Figure 6. Molecular (or flame) emission spectrometer instrumentation sensor. printed with the permission of Solid State Technology.)
(Re-
Toxic Gas Monitoring 593 Infrared (IR) Spectrophotometry. As shown in Fig. 7, spectrophotometric IR sensors introduce an air sample into the gas cell of an infrared spectrometer. Inside the gas cell is a system of lenses and mirrors that directs a beam of monochromatic infrared light in a preselected path through the sample. The amount of energy absorbed by the sample is measured. The same sample is examined at other additional wavelengths. This multiwavelength, multicomponent absorbance data is analyzed by a built-in microprocessor to determine the concentration of the compound.
Figure 7. Diagram of a Fourier Transform infrared spectrometer (FTIR). (Reprinted with permission from the Semiconductor Safety Association)
Flame Ionization. An air sample from a sample draw line passes through a hydrogen flame within an ionization cell. Any organic molecules in the sample that pass through the hydrogen flame produce ions. These charged particles immediately pass through a electric field creating a proportional current flow. This current flow is proportional to the total hydrocarbon concentration in the air sample.[10] Catalytic Reaction. A bead or wire is coated with a catalytic material so that it reacts with a target gas. As the reaction on the catalyzed surface takes place, the bead or wire heats up, and changes its resistance. This resistance change can be proportionally related to the target gas concentration. An example of a catalytic bead detector is a sensor that consists of two beads placed in a wheatstone bridge circuit. One of the beads acts as a
594 Semiconductor Safety Handbook reference and the other bead is catalyzed so that it oxidizes combustible gases. As the sensing bead oxidizes, it heats up and changes its resistance relative to the reference bead. This resistance change causes a change in the output voltage signal which is proportional to the combustible gas concentration. Chemiluminescence. Chemiluminescence detectors are similar to infrared analyzers, but instead of measuring the wave absorbed by the target gas, it measures light emission from the gas. The magnitude of this light emission is proportional to the gas concentration. [11]
3.3
Identification
The identification functional component represents the method by which the concentration of an identified target gas is determined. This determination is usually made by comparing the gas detector’s response of the target gas to an already-known response of a specific calibration standard. Using the paper tape gas detector method described earlier as an example, if a target gas causes a measurable dark stain to appear on the chemically impregnated section of detection tape, the photo-response of this stain can be compared to the known photo-response of a specific calibration standard. Through this comparison, the concentration of the target gas can be determined. Accuracy. The accuracy of this concentration measurement is dependent on: l.
The merits of the known calibration standard.
2. The repeatability of the detection measurement technique to identical target gas concentrations. 3. The complete understanding of response and precision across the entire range of the gas detection measurement instrument. 4. The distortion of the measurement caused by interferents. If the calibration standard is affected by external factors (for example, variations in output from a gas diffusion tube caused by temperature changes), it may not have the required or assumed concentration value when calibrating the actual gas detection instrument. The measured target gas concentration must be questioned if the detection technique does not produce repeatable results. In the case of our paper tape gas detector example, the accuracy and repeatability of this measurement is directly dependent on the
Toxic Gas Monitoring 595 chemical characteristics and uniformity of the impregnated detection tape, and the calibration of the electro-optical detector. Knowing the output of a gas detection instrument at a zero reading level (i.e., when no target gas is present) and the output at a maximum scale level (using a known standard having the maximum scale concentration) does not imply that an output halfway between the zero and maximum output levels corresponds to a target gas concentration of half the maximum scale value. This is only true if the gas detector’s response output is linear between the zero reading level and the maximum scale level. If the gas detector’s response output is not linear, the target gas concentration reading must reflect the non-linearity of the response. Example: A gas detector has: • Zero Output Level = 4 mA • Maximum Scale Output Level = 20 mA • Maximum Scale Value = 10 PPM (parts per million) The output level for a target gas concentration of 5 PPM would be 12 mA only if the gas detector’s response output was linear as shown by the solid line in Fig. 8.
Figure 8. An example of a gas detector’s response output. The solid line indicates a linear response output characteristic. The dotted line indicates a nonlinear response output characteristic.
596 Semiconductor Safety Handbook If the gas detector’s response output was not linear and had the response output characteristic as shown by the dotted line in Fig. 8, the output level for a target gas concentration of 5 PPM would be 8 mA, not 12 mA. Interferents. A target gas concentration measurement can be distorted by interferents. An interferent is any material or condition which can affect the true measurement of the actual target gas concentration. Positive interferents are those materials and conditions which add to a target gas’s concentration measurement so the gas detector’s measurement reading is higher than the actual concentration value of the target gas. Figure 9 shows the effect of a positive interferent. Negative interferents mask a target gas’s concentration measurement so the gas detector’s measurement reading is lower than the actual concentration value of the target gas. Figure 10 shows the effect of a negative interferent. Some positive interferents can cause a false measurement reading by the gas detection instrument even in the total absence of the target gas. Thus, positive interferents can be the potential source of frequent false alarms in a gas detection system. Negative interferents are far more dangerous since it is possible that dangerous concentration levels of a target gas can exist before the gas detector reaches an alarm condition.
Figure 9. An example of a positive interferent effect on a Brand W arsine gas detector exposed to a 5 PPM environment of HCl. (This data was originally presented at the Fall 1989 NE Regional SSA Meeting held in Red Bank, NJ.)
Toxic Gas Monitoring 597
Figure 10. An example of a negative interferent effect on a Brand X arsine gas detector exposed to a 5 PPM environment of HCL. (This data was originally presented at the Fall 1989 NE Regional SSA Meeting held in Red Bank, NJ.)
3.4 Alarm Alarm/Action Setpoints. Once the target gas concentration value is determined, it must be compared with predetermined alarm/action concentration levels set by the gas detection system manufacturer and/or user. These predetermined alarm/action concentration levels correspond to the governing policies or guidelines dictated by specific environmental, health and safety codes or organizational requirements in order to protect the health and well-being of personnel and the environment. Typically, an alarm/action concentration level is based on the IDLH, STEL, PEL, TLV, or TWA values of the specific target gas. In the case of oxygen, this may also refer to a critical minimum concentration limit to protect personnel from asphyxiation in an oxygen-deficient atmosphere.[12] Several alarm/ action setpoints are sometimes used to warn individuals of potential health and environmental hazards before they occur, or the actual increasing severity of a hazardous situation. The comparison of target gas concentration to alarm setpoint can be performed within the actual gas detection instrument or in a higher level, upstream computer system remotely located in a central control center.
598 Semiconductor Safety Handbook Upstream Communications. There are many physical means by which the target gas concentration value can be transmitted, including optical and mechanical techniques, but the most common is through electrical communications. Electrical signals can be either analog or digital. Digital communication signals usually require an analog-to-digital conversion process since most analyzers produce a direct analog output response to a target gas concentration. These signals may travel only a short distance (as small as an inch) if the target gas concentration comparison to alarm setpoints occurs within the same gas detection instrument. The signals might travel as long as a mile if the gas detector is located in a remote monitoring location while the target gas concentration comparison to alarm setpoints is made in an upstream personal computer system located in a faraway main control center. Some typical medium-to-long distance electrical communications protocols include 4–20 mA, 0–1 V, 0–10 V, and RS-232 signals. Whatever means of transmission is used to communicate the target gas concentration, it must be reliable and protected against false signal interferences (such as electrical “noise”) when using electronic communications.
3.5
Action
The action functional component represent all the possible predetermined response actions which should occur upon reaching a predetermined alarm/action setpoint. Response actions could include activation of audible and/or visual alarms, annunciation of specific alarm conditions and locations, hardcopy of past and present gas concentrations or environmental conditions, notification of local and remote emergency response personnel, activation of emergency safety control systems[13] through relays such as gas supply source and equipment shutoffs, HVAC system shutdown with 100% exhaust, or one-pass cleanroom air flow operations. The predetermined response actions should follow established emergency response procedures and policies of your organization and all applicable environmental, health, and safety regulations to protect both personnel and the environment and minimize the extent and effect of any hazardous situations.
4.0
SELECTION OF A TOXIC GAS MONITORING SYSTEM
As previously stated, continued revisions and additions to national, state, and local fire and building codes along with modifications to
Toxic Gas Monitoring 599 environmental, health, and safety regulations will govern the use of toxic and hazardous materials in both the private and public sector. The requirements for and the selection of a toxic gas monitoring system will be heavily driven by all these applicable rules and regulations and their interpretation by local code enforcement officials. Factors, such as the variety and amount of hazardous materials being used, type/layout/age/location of facility, quality and number of support personnel, internal risk management and organizational policies, and available funding will also impact the design and selection of a toxic gas monitoring system. For example, the requirements for a toxic gas monitoring system from a small, nonproduction research and development facility’s viewpoint can be quite different than a large semiconductor foundry.[14] The following section highlights and discusses several key issues and decisions that must be addressed before choosing a specific gas detection technology or system.
4.1
Single- or Multi-Point
The specific areas (sometimes called sample points) which must be monitored must first be defined. Identification of these areas can be code driven but are generally established through a comprehensive risk management assessment of each process, operation, equipment, facility, and/or personnel. Typical areas might include gas source cabinets, gas distribution systems, process equipment, researcher or operator workspace, vacuum systems, equipment exhaust, and central exhaust discharge points. Figure 11 shows potential monitoring points for LPCVD and plasma-etch operations. The location of each sample line or placement of each gas detector within these areas is dependent on the physical characteristics of each area as described in Fig. 12. Open area leaks, enclosed area leaks, and leaks within processes must be addressed on a case-by-case basis. The impact of air flow, weight of the target gas relative to air, and possible dilution effects must all be considered.[15] The types of material requiring monitoring in each of these areas, with corresponding acceptable response times, must also be determined. Response time, sometimes called detection speed, is defined as the time delay between release of a target gas in the monitored area and the detection, identification, and alarm by the toxic gas monitoring system. Selection of a response time can be influenced by the toxicity or hazard of the gas, the number of personnel who could potentially be exposed to the material, and the frequency and duration of area occupancy.
600 Semiconductor Safety Handbook
Figure 11. Potential monitoring points for LPCVD and plasma-etch operations using PH3 as dopant and NH3 as etchant and cleaning agent. Monitoring points should be placed at all potential sources of leaks. It may be necessary to monitor more than one gas at a specific location. (Reprinted by permission of the publisher, Lake Publishing Corporation.)
Toxic Gas Monitoring 601
(a)
(b)
(c)
Figure 12. Potential locations for gas detectors based on gas vapor density and physical area characteristics. Gas density lighter than air in (a) still air, and in (b) moving air. Gas density heavier than air in (c) still air, and in (d) moving air. (e) In a typical cleanroom, the sensor should be located at the return filter wall, so it samples all air passing by during circulation. (f) In a ventilated gas cabinet, the sensor should be placed in the exhaust duct. (Figures 12a-12d reprinted by permission. Copyright 1981, Instrument Society of America.. From ISA Transactions, 20(2). Figures 12e and 12f reprinted with permission from the Semiconductor Safety Association.)
Toxic Gas Monitoring 601
(a)
(b)
(c)
Figure 12. Potential locations for gas detectors based on gas vapor density and physical area characteristics. Gas density lighter than air in (a) still air, and in (b) moving air. Gas density heavier than air in (c) still air, and in (d) moving air. (e) In a typical cleanroom, the sensor should be located at the return filter wall, so it samples all air passing by during circulation. (f) In a ventilated gas cabinet, the sensor should be placed in the exhaust duct. (Figures 12a-12d reprinted by permission. Copyright 1981, Instrument Society of America.. From ISA Transactions, 20(2). Figures 12e and 12f reprinted with permission from the Semiconductor Safety Association.)
Toxic Gas Monitoring 603 If “instantaneous” response is required, single point gas detectors located in the immediate area may be required. If a longer delay in response time can be tolerated, multi-point gas detectors might be an alternative. The choice between single point and multi-point gas detectors is not easy. While single point gas detectors usually provide fast response, they can also be very expensive to initially purchase and also maintain if a large number of them are required to adequately protect a facility. The main cost of a gas detector is in the actual sensing unit with its associated electronics. If a multi-point gas detector can cover the same area as several single point gas detectors using the same detection technology, both upfront and future maintenance cost savings usually become obvious. When comparing a multi-point gas detector with several single point gas detectors of different technologies, the cost savings may not as clear.[16] For example, a mass spectrometer is a multi-gas analyzer that can usually identify a large variety of different chemical compounds including organics, acids, and hydrides. If ten different areas must be monitored and the same three different target gases must be monitored in each of these areas, there are several potential monitoring solutions. A 30-point, sample draw, multi-gas mass spectrometry gas detection system with over 30 sampling lines might meet this requirement. Or, three 10-point, sample draw, single-gas paper tape gas detection systems, each monitoring a different target gas, might also meet this requirement. Finally, thirty individual single-point, single-gas, gas detectors integrated into a central toxic gas monitoring system could also meet this requirement. As discussed under the transport section, the length of each sample line and number of sample lines which must be scanned by a multi-point gas detector, can seriously affect response time. For example, if the acceptable response time is 15 seconds or less and: Number of points to be monitored—8 Number of materials to be monitored—1 Minimum time to analyze a single sample line—30 seconds then: Maximum, worst case response time is 4 minutes (which is unacceptable) Thus, a combination of single point and multi-point gas detectors integrated into a central toxic gas monitoring system might be required to effectively and efficiently protect a facility and its occupants.
604 Semiconductor Safety Handbook 4.2
Gas Detection Technology
The choice of gas detection technology is also a complex decision and can be influenced by the choice of single point or multi-point sampling since that choice can lock you into a certain group of commercially available gas detectors or monitoring systems. Director or Indirect Gas Detection. In selecting a gas detector, it is important to note whether a detector responds to the target gas directly or indirectly. For example, in monitoring for phosphorous pentafluoride, an indirect gas detector might detect hydrogen fluoride (a by-product created when phosphorous pentafluoride comes in contact with moisture in the air). In order for this indirect gas detector to provide satisfactory protection, the proper environmental conditions, such as a sufficient humidity level, must exist in the monitored area.[17] Sometimes gas detectors are selected to monitor for the presence of a carrier gas used in conjunction with the actual target gas. Care must be taken with this approach since carrier gas detection characteristics and limits relative to the target gas may not afford adequate protection. Identify All Interferents. The type and affect of interfering gases or vapors can also influence the choice of gas detection technology. A gas detector, with an interferent response characteristic similar to Fig. 13, would probably be a poor choice in an area where equipment and other surfaces are wiped down with an isopropyl alcohol solution, and could be the source of frequent false alarms. Sensitivity and Resolution. The detection sensitivity and resolution of a gas detector must match the monitoring requirements for the target gas and provide an acceptable margin of safety in the event of a toxic gas leak. If a gas with a TLV of 50 parts per billion (PPB) requires monitoring and the established alarm setpoint is one half the TLV (i.e., 25 PPB), a gas detector with sensitivity and resolution in parts per million would be totally inadequate for the application. Factors such as stability, detection speed, recovery time, frequency and methods of calibration, required maintenance, and reliability can also influence the selection of a specific gas detection technology. Stability and Drift. A gas detector must be stable and have a constant zero-level output signal. If this output signal varies in magnitude over time, independent of the presence of a target gas, false alarms or readings lower than the actual concentration value of a target gas can occur.
Toxic Gas Monitoring 605
Figure 13. An example of an interferent effect on a Brand Y arsine gas detector exposed to isopropyl alcohol vapor. (This data was originally presented at the Fall 1989 NE Regional SSA Meeting held in Red Bank, NJ.)
For example, a single point detector has a constant zero-level output signal of 4 mA with a linear output, a maximum scale value of 10 PPM at 20 mA, and an established alarm level of 2.5 PPM at 8 mA. In the presence of a target gas at a concentration of 2 PPM, the signal output of this detector should be 7.2 mA. If the zero-level output signal drifted higher by 1 mA, from 4 mA to 5 mA, the detector would then have an output signal of 8.2 mA in the presence of a target gas at a concentration of 2 PPM. Thus, a false alarm condition would exist due this zero-level output signal drift. Similarly, if the zero-level output signal had drifted lower by 1 mA, from 4 mA to 3 mA, the detector would have an output signal of 7.8 mA in the presence of a target gas at a concentration of 3 PPM. Thus, no alarm would have occurred, even though the target gas concentration exceeded the established alarm level of 2.5 PPM. If the detector’s output was not linear, these examples could have been much worse. Drifts can be caused by interferent gases or vapors, adverse environmental conditions, unstable conditions within the actual gas detector (such as within the electrolyte solution of an electrochemical gas detector), and/or the gas detector’s associated electronics and power supply.
606 Semiconductor Safety Handbook Environment Effects. Sometimes environmental conditions orchanges can detrimentally affect the performance of both direct and indirect gas detectors. Changes or extremes in temperature and humidity, high velocity air flows across the gas detector, and/or high airborne particulate concentration can serve as sources of unwanted interference or drift as shown in Fig. 14. This can be a critical factor in selecting a specific gas detection technology for a specific application. For example, gas detectors which show large zero-signal level drift under changing humidity conditions would probably be a poor candidate for either outdoor or non-environmentally-controlled indoor locations in the Northeast United States where large, rapid swings in humidity during the summer months are common.
Figure 14. 14. An An example example of of sensor sensor dritt Figure drift due due to to aa change change inin air air flow flowacross acrossaaBrand BrandZZarsine arsine gas detector. detector. (This (This data data was was originally gas originally presented presented at at the the Fall Fall 1989 1989 NE NE Regional Regional SSA SSA Meeting held held in in Red Meeting Red Bank, Bank, NJ.) NJ.)
Detection Speed. Detection speeds Detection Speed. Detection speeds can can differ differ between betweenvarious variousgas gas detection technologies and may significantly contribute to the overall detection technologies and may significantly contribute to the overall reresponse time time of toxic gas monitoring system. sponse of a toxic gas monitoring system. The Theselection selectionofa of a specific specificgas gas detection technology detection technology can can have have aa major major impact impact ifif “instantaneous” “instantaneous” response response times are required times are required.
Toxic Gas Monitoring 607 Recovery Time. Certain gas detection technologies require time to recover or “clear” after exposure to a target gas or interferent. Detection accuracy can be severely affected if a second gas leak occurs in the gas detector’s monitoring area before it has recovered from the first gas leak. Thus, recovery time may influence the selection of a specific gas detection technology.[18] Calibration and Maintenance. Some gas detectors require involved maintenance procedures and frequent calibrations, while others require little or no maintenance and infrequent calibration. Complex maintenance and calibration procedures usually require skilled personnel commanding higher labor charges. For example, some liquid-type electrochemical cell gas detectors require replacement of the electrolyte solution and diffusion membrane cap every month and must then be calibrated. This translates into both material and labor costs. Some paper tape gas detectors require tape replacement every few weeks. While swapping tapes is usually a short and easy job, the long term material costs associated with purchasing replacement tapes should be a consideration. Some detectors require stabilization time after maintenance before calibration can be performed. Since maintenance and calibration procedures are usually performed concurrently by the same individual, increased labor costs can be incurred due to this additional waiting time. Additionally, built-in internal diagnostics can aid maintenance personnel in quickly determining and correcting gas detector problems, reducing service time and associated labor costs. Long term calibration and maintain costs are directly dependent on the technology and number of gas detectors involved, and therefore can influence the choice between single point, multi-point, or combination of single point and multi-point gas detectors used to monitor a facility. Direct and Indirect Calibration. A number of different methods can be used to calibrate a gas detector. The direct calibration method exposes the gas detector to known concentrations of the actual target gas and calibrations are made against these known concentrations. Indirect calibration methods use calibration techniques, other than exposure to the actual target gas, that can be correlated to specific concentrations of the target gas. For example, some paper tape gas detectors use a verification card placed in front of the detector’s electro-optical system which simulates the corresponding tape stain for a specific target gas concentration. Other gas detectors might use a less hazardous positive interferent gas whose detector response directly correlates to the response for a specific target gas. Whatever calibration method is used, it must be accurate, repeatable, and
608 Semiconductor Safety Handbook reflect the detector’s response to the actual target gas across the entire range of the gas detector. Contractor Support. Availability of contractor support services for both gas detectors and upstream system hardware and software must be assessed. Service advice via an toll-free 800 phone number, operation and maintenance training of user personnel, and quick response on-site field service assistance are all desirable support features. Thus, the choice of gas detection technology, or combination of technologies, is dependent on many variables and factors. Pre-Planning. Assessing what potential environmental conditions and interferent gases or vapors that can exist in the area to be monitored, defining gas detection sensitivity and resolution requirements, and establishing maintenance and calibration procedures, frequency, and costs requires in-depth, thorough information gathering and pre-planning. While gas detector manufacturers can supply a large amount of background information on topics like interferent materials, accuracy, sensitivity, detection speed, recovery time, calibration, and maintenance, they may be somewhat reluctant in citing areas of poor performance. It is highly suggested that the established user base be queried and that actual hands-on testing of potential gas detector candidates be performed prior to final selection and purchase of any gas detector or toxic gas monitoring system.
4.3
Gas Detector Integration
Once the areas to be monitored are defined, the type of gas detection technologies and number of gas detectors are selected, location of each specific sample line or gas detector in each area are determined, and alarm/ action setpoints are chosen, the gas detectors must be incorporated into an integrated continuous toxic gas monitoring system. This toxic gas monitoring system must be created within the framework established by regional or local codes, local code officials, internal risk management practices, organizational policies, processes and operations, equipment and facilities, and qualifications and availability of personnel. The system might be centralized, distributed, or a combination of centralized and distributed. Where single point gas detectors are used, gas concentration values can be sent to a higher-level upstream computer system located in a central control center where comparisons of target gas concentration to alarm setpoints are performed. As previously described under the “alarm” functional component discussion, the target gas concentration value is usually trans-
Toxic Gas Monitoring 609 mitted by electrical or fiber optic communications. Communications lines must be installed between the single point gas detectors and upstream system. In order to avoid running a large number of cables, several gas detector output signals within a local area can be multiplexed through a single communications line. Some single point gas detectors, and most multi-point gas detectors, perform the comparison of target gas concentration to alarm setpoint locally and then transmit their results to the upstream system. Alarm. As discussed under the “action” functional component section, once the target gas concentration exceeds the predetermined alarm/ action setpoint, response actions are initiated. Audible and/or visual alarms must be installed where appropriate to warn all affected personnel of an alarm condition. Different warning signals can be used to distinguish between low and high level alarms, possibly requiring evacuation of a local area or an entire building. While many single point and multi-point gas detectors have both a visual gas concentration reading and combination alarm light and buzzer/horn, they usually do not provide sufficient warning outside the immediate gas detector area. Therefore, gas detector alarms are, in many cases, supplemented by more visible and audible alarms activated locally by an alarm relay connected to the detector or a signal sent from the upstream system. Communication lines, switches, relays, etc. must be installed from the action-determining systems to the appropriate alarm locations. In addition to warning personnel in the affected areas, emergency response personnel must also be notified. Emergency response personnel can be contacted by on-duty personnel manning the central facility control center once they have received notification from the toxic gas monitoring system that an alarm condition exists. Emergency response personnel can also be notified through a pager/callback system that is automatically initiated by the toxic gas monitoring system. When emergency response personnel are paged, they can interactively query the toxic gas monitoring system via a touch-tone phone and computer voice status messages generated in real time.[19] Record Keeping. A record of past and real-time present gas detector measurements during an alarm condition may be required. Target gas concentration values can be saved on hardcopy or magnetic media. For example, a small thermal printer contained within a single point or multipoint gas detector can record the time, date, and concentration values on a routine periodic basis or frequently during an alarm condition. Similarly, an upstream system could use a line printer or computer data file (saved to
610 Semiconductor Safety Handbook disk) to record the same information. Since this information is very useful to emergency response personnel, hardcopy or on-line computer query capability of disk-saved data (both locally near the alarm site and at a remote, central control center) is desirable. Emergency Safety Systems. Activation of emergency safety control systems through alarm relays controlled by the gas detector or upstream system must also be initiated when an alarm condition exists. Usually, gas flows from the gas supply source and to each piece of equipment using the detected target gas are shutoff by automatic gas valves directed by alarm action communication signals. It is generally desirable for the area affected by a gas leak to remain under negative air pressure (with respect to adjacent, non-contaminated areas) and may help to prevent the leak from spreading. Sometimes, this condition can be achieved by shutting down the normal HVAC supply air system and either leaving on the exhaust to normally exhausted equipment and/or turning on a secondary exhaust system to remove contaminated air from the affected areas. To minimize contamination entered controlled-particle environments, some facilities have been designed for single-pass cleanroom air flow operations during a gas alarm condition. Communication links and control systems must be established between the gas detector and/or upstream system and emergency safety systems. In certain geographic regions, seismic detection or earthquake safety systems can also activate emergency safety systems utilizing the same communication links and control systems.[20] Both the toxic gas monitoring system, including all gas detectors and associated electronics, and emergency safety and control systems must not be affected by utility failures. Provisions must be made to provide secondary utility support such as battery backup or connection to an uninterruptable power source. Data Management System. During the late 1980’s and early 1990’s, tremendous improvements in the use of multiplex communication techniques and personal computer (PC) based data management systems for toxic gas monitoring have greatly reduced the integration burden of toxic gas monitoring system designers, installers, and users. Standard communication protocol, off-the-shelf communication cards easily installed in PCs, and application software based on common database and graphics software packages have provided a useful, user-friendly, cost-effective means of monitoring an entire facility from a single control station. As shown in Fig. 15, displays of facilities and equipment layout via high resolution computer graphics indicating alarm locations, real time notification of alarm/action events via on-screen pop-up windows, and close up, detailed illustrations of
Toxic Gas Monitoring 611
(a)
(b)
(c)
Figure 15. An example of high resolution computer graphics used by a toxic gas monitoring data management system to show (a) a primary facility map, (b) “alarm” window, and (c) zoom into gas cabinet schematic for sensor in “alarm.” (Reprinted with permission from SPAN Instruments.)
612 Semiconductor Safety Handbook system components and functional schematics via mouse-driven zoom graphics all represent desirable system features. Data acquisition of alarm conditions (noting date, time, locations, concentrations, and alarm/action setpoints), zero-level output signal drifts, eight-hour time weighted average concentrations, calibration and maintenance history, and planned or unplanned system interruptions allows the user to document all important monitoring events and, where necessary, accumulate this information for later analysis.[21] Alarm history, gas detector reliability, interferent trends, and long-term system performance are just a few examples of the reports generated and analyzed by many of these PC-based data management systems. Many facilities also have automated computer controlled building management systems. Many toxic gas monitoring data management systems can be further integrated with these building systems to provide a single, comprehensive facility management/control system. When selecting a toxic gas monitoring system, evaluation of these capabilities should be considered. The size of the established user base, whether the software is custom generated or available off-the-shelf, and the level of difficulty and cost of upgrade, expansion or modification in the future as system requirements change are some of the factors which can affect this decision. Future Upgrades. Just as the upstream data management system must be easily and cost-effectively upgraded or modified, the entire toxic gas monitoring system should be planned with future change and/or expansion as an underlying design requirement. In facilities where technological focus and types of materials used are constantly changing, flexibility in rearranging gas detection locations and substitution of gas detectors with gas detectors of different technologies are essential to quickly and economically meeting the toxic gas monitoring needs of an organization. Forethought to layout and accessibility of sampling and communication lines, capacity for expansion to accommodate the monitoring requirements of new gases and/or additional monitoring locations, and change/expansion of associated alarm/action systems must be addressed early in the design cycle of any toxic gas monitoring system.
4.4
Role of Portable Gas Detectors
Most toxic gas monitoring systems integrate permanently installed gas detectors in fixed locations throughout a facility. Portable gas detectors, based on several of the technologies found in the analysis functional
Toxic Gas Monitoring 613 component section, provide an important complementary function to these permanently installed gas detectors. Portable gas detectors provide temporary continuous monitoring protection during equipment and toxic gas monitoring system maintenance, are used to check for leaking gas cylinders upon delivery, and assist emergency response personnel in determining actual gas leak locations. Most of the technology and performance information related to permanently installed gas detectors previously discussed is also relevant to portable gas detectors.
5.0
FUTURE TRENDS
Gas detection techniques, monitoring systems, data management systems, emergency safety systems, and level of integration will continue to improve through both technological advancements and product enhancements. Gas analyzer response characteristics, including sensitivity, resolution, stability, detection speed, and recovery time, will be refined and improved. Additions or modifications to existing gas detectors will be made to reduce or eliminate the effects of known interferents and environmental conditions or changes. Developments of existing gas detection techniques will increase reliability and reduce the frequency and/or level of required maintenance. New gas detection techniques will be developed and introduced into the marketplace. For example, current state-of-the-art quartz resonators are being used to develop high frequency, high stability clock/ time sources with accuracies approaching one part per trillion. These quartz resonators are fabricated in ultra-clean environments and are extremely sensitive to surface impurities. A single monolayer of contamination can easily shift the output frequency of a resonator by one in a million. It is conceivable that a gas detector based on this contamination-related frequency shift effect could be developed with both excellent sensitivity and reliability. Emerging electronic device technologies, such as micro-electromechanical, high energy/micromagnetic, or biosensor devices, coupled with advances in electro-optics, may provide the foundation for completely new gas detection techniques or order-of-magnitude smaller, next-generation gas detectors such as a mass-spectrometer-on-a-chip. These future gas detection techniques, along with the development of distributed intelligent control systems, may enable the use of an extremely large number of highly
614 Semiconductor Safety Handbook sensitive, multi-gas, single-point gas detectors to economically monitor all potential locations of interest. The development and improvement of electro-optical detection technologies, such as passive IR and laser techniques, may offer promising near-term and longer-term candidates for standoff, remote chemical detection and identification required by applications such as perimeter monitoring of fenceline emissions, in-situ exhaust monitoring of stack effluents or fugitive process emissions,[22] and hazardous waste disposal site surveillance. As computer information systems evolve, data management techniques and systems for toxic gas monitoring will also improve. Faster, userfriendly, icon or voice-driven software utilizing high resolution graphics on future large area or next generation three-dimensional displays will provide both the user and emergency response personnel with useful, real-time leak status information. Standardized optical-bus or wireless communication techniques will allow users to rapidly and cost effectively modify or expand the number and location of single point gas detectors used in their toxic gas monitoring system. Comprehensive integration of the toxic gas monitoring system with all other equipment and building control and monitoring systems, along with voice, video and data communications, will permit true “smart facilities.” One fact is for certain; both gas detectors and toxic gas monitoring systems must continue to improve to keep pace with future revisions and additions to national, state, and local fire and building codes and modifications to environment, health, and safety regulations for effective and affordable monitoring and protection of personnel, environment, and facilities.
REFERENCES 1. Bolmen, R. A., Jr., Solid State Technology, 32(7):63-69 (1989). (Reprinted with permission.) 2. Burggraaf, P., Semiconductor International 10(12):56-62 (1987) 3. Uniform Fire Code—Article 80: Revision 80-87-1 4. Manz, P. C., Korolkoff, N. O., and Leahey, M., Solid State Technology 32(10):115-118 (1989). (Reprinted with permission.) 5. Newboe, B., Semiconductor International 14(12):68-72 (1991) 6. Manz, P. C., The Impact of Clean Air in the 1990’s, U.S. Army, R&D Technical Report SLCET-TR-91-7 (1991)
Toxic Gas Monitoring 615 7. Manz, P. C., Korolkoff, N. O., and Leahey, M., Solid State Technology 32(10):115-118 (1989) (Reprinted with permission of Solid State Technology) 8. Michaud, J-P., SSA Journal, pp. 51-53, February 1989 9. Korolkoff, N. O., Solid State Technology 32(12):49-64 (1989) (Reprinted with permission of Solid State Technology) 10. Hazardous Gas Instruments and Data, International Sensor Technology Publication HGB:1081, p. 13 (1986) 11. Hazardous Gas Instruments and Data, International Sensor Technology Publication HGB:1081, p. 14 (1986) 12. LaBar, G., Occupational Hazards, pp. 67-70, May 1991, Penton Publishing Inc. 13. Fluer, L., Yakubek, P., and Urmson, J., SSA Journal, pp. 35-46, (Feb. 1988) 14. Fluer, L., Yakubek, P., and Urmson, J., SSA Journal, pp. 35-46, (Feb. 1988) 15. Schaeffer, J., SSA Journal, pp. 39-42, September 1988 16. Manz, P. C., Korolkoff, N. O., and Leahey, M., Solid State Technology 32(10):115-118 (1989) (Reprinted with permission of Solid State Technology) 17. Korolkoff, N. O., Solid State Technology 32(12):49-64 (1989) (Reprinted with permission of Solid State Technology) 18. McMahon, R., Microelectronic Manufacturing and Testing 12(4):8-10 (1989) 19. Manz, P. C., Korolkoff, N. O., and Leahey, M., Solid State Technology 32(10):115-118 (1989) (Reprinted with permission of Solid State Technology) 20. Goodlett, C., SSA Journal, pp. 33-35, (Feb. 1990) 21. McMahon, R., Microelectronic Manufacturing and Testing 12(4):8-10 (1989) 22. Benson, L. S., Hopkins, E. W., Lloyd, J. L., Oberc, L. W., Saminathan, M., Steele, D. B., Thompson, M. K., and Wolk, G. L., AT&T Technical Journal 71(2):29-36 (1992) (Copyright 1992 AT&T. Reprinted with permission.)
616 Semiconductor Safety Handbook
Index
A Abatement systems 65 Abietic acid 235 Abortion 45, 56 Absorption 365 dermal 245 systemic 366 Access fire fighting 383 Accident prevention 329 Accidents 279 electrical 282 investigation 283 work-related 26 Accuracy 594 ACGIH 206, 370 Acid burns 192, 246 Acid etch operators 209 Acids 188, 197, 228 Actinic exposure 318 Action conditions 598 Action functional component 598 Action-reaction 359 Activism environmental 90 ADA 431 ADME 361, 362, 365
Advantages 91 Air contamination 253 Air handling system 446 isolation 449 Aisles service 400 ALARA 322 Alarms 358, 597, 609 required types 459 supervision of 458 visual and audible 584 water flow 409 Allergic contact dermatitis 369 Allowable area 440 Alpha particles 322 Alternate suppression systems 454 Aluminum etch 229, 343 Alveolar phagocyte 365 Americium-241 322 Ammonia 512 Ammonium fluoride 192 Amperes 273 Analyses 35 Analysis functional component 588 Annual Survey 30 Annunciation 586 Anti-static devices 318, 321 Antimony 233
616
Index 617 Antimony trioxide 234, 236, 316, 395 Area separation walls 441 Area smoke and heat detectors 459 Areas 583 chemical 403 chemical dispensing 403 sheltered 426 Argon 514 Arsenic 195, 218, 221, 260 Arsenic pentafluoride 515 Arsenic standard 240, 241 Arsenic trichloride 233 Arsine 26, 188, 207, 231, 233, 238, 346, 517 Article 80 439 Aryldiazide 222 Asian/Pacific Islander employees 37 Asphyxiation 372 Assessment qualitative 210 ASTME136 388 Audible alarm 464 Audit checklist 79 environmental 68 corporate 69 process 70 program 72 environmental 69 Automatic gas cabinet controller 510 Automatic sprinkler protection plenum and interstitial space 451 Awareness 275
B B-2 occupancy 440 Backup systems 454 Badges radiation 320 Ball valve 498 Baseline industrial hygiene data Basements 440 Baths etch 228 Bead-blasting 195, 198 Bearded employees 255 Bell jar cleaning 234
207
Benzene 363 Beryllium 234, 236, 316 Biological monitoring 218 data 216 solvents 218 Biomonitoring techniques 218 Biotransformation 367 Blistering 373 Blood lead levels 218 BLS 29 BLS Annual Survies of Work-Related Injuries and Il 29 Boards printed circuit 61 BOCA 381, 430, 582 Body part 41 Body system(s) 41 BOE 246 Boron trichloride 331, 353, 373, 508, 518 Boron trifluoride 520 Bourdon tube 500 Branched lines 477 Brass regulators 496 Breakers 294 Breakthrough time 251 Breathing zone 205, 259 Bromine 339, 371, 373 Bromotrifluoromethane 522 Buffered oxide etch 246 Building codes model 380 Building Official 466 Bulk air samples 259 Bulk gas piping 478 Bulk gases 472 Bunkers 504 Burn boxes 195, 421 Burns acid 229 HF acid 246 Butt welding advantages 475 Butyl gloves 244 By–products toxic 342
618 Semiconductor Safety Handbook C Cabinet control systems 511 Cabinet ventilation flow 511 Cabinet x-ray systems 319 Cabinets gas 353 leaker 419 Cadmium 233 Calibration 607 standards 594 Camphorlike odor 344 Cancers 306 Cans safety 424 Carbon dioxide laser 236 Carbon tetrachloride 343, 373 Carcinogenic 188 Carcinogens 195, 342, 343 Caro’s acid 396 Carpal tunnel syndrome 41 Carrier gas 481 Carrier pads 222 Carrier solvent 227 Carts chemical 424 Case administration 33 Cases lost workday 35 CATS 82 Ceiling concentration 371 Cell-mediated immunity 369 Cellosolve acetate 244 Cellosolves 192 Central station 414 CGA connection 484 Chamber reactor 343 Check valves 498, 506 Chemical analyses 478 Chemical burns 40, 41 Chemical contact 243 Chemical exposures 52, 55, 219 Chemical protective gloves 243 Chemical vapor deposition 199, 231 Chemicals 61 corrosive 393 hazardous 424 Chemicals source category 40
Chemiluminescence 594 Chillers 511 Chlorine 229, 331, 339, 353, 371, 372, 524 Chlorofluorocarbons 372 Chloroform 373 Chloropentafluoroethane 372, 526 Chlorotoluene 192 Chlorotrifluoromethane 372 Chromosomal aberrations 230 Churn pressure 408 Circuit board 60 Circuit breakers 293, 294, 295 Class 1 materials 476 Class I filters 391 Clean Air Act 85 Clean industry 86 Clean room 387, 404 ceiling 389 design 392 equipment 425 exposure 388 filters 391 protection 400 sprinklers 397 values 389 Cleaners 201 vacuum 231 Cleaning 197 Cleanroom 196, 440, 457, 471 specialized installations 451 Cleanroom workers 54 Clearance 292 Climate 382 Cluster tool 236 Coaxial lines tests 478 Cobalt-60 304, 322 Code Agency Liaison 466 Code compliance 430 Code evaluation report 467 Codes 33, 380, 430 building 401 NFPA 396 Coffin 490 Cold traps 194 Colophony fume 235 Combustible materials 290 Command station 464
Index 619 Committee PHR 333 review 332 Communicate 80 Communications 261 upstream 598 Companies environmentally conscious 87 Complexity 64 Compliance 64, 86, 450 Compliance inspections 31 Compliance monitoring 238 Compliance personnel 68 Compliance priorities 468 Compliance programs 60 Compliance records 77 Compliance standards 80 Compliance strategies 467 phased 468 Components compression fitting 474 Components study 47 Compressed gas 480 definition 480 properties 481 Compressed Gas Association 346 Compressed gas cylinder 480 description 480 Compression fitting 474 Concentration 362 Concentration limit 584 Configurations 61 Connections 484, 493 cylinder 347 welded 507 Consequences 281 Construction 379 materials 425, 473 Containers plastic 423 Containment 463 Containment annular space 477 Contaminants 340, 343 aluminum reactor 344 vacuum pump 342 Contamination airborne 219 surface 240 Continuous gas monitoring 238
Continuous gas-detection system 460 Contractor 471 Control areas 432 Control stations emergency shut off 457 Control systems 65 Controller gas cabinet 510 Controls laser safety 316 Coordinator environmental 80 Cords 293 Core building areas 241 Corrective action 79, 80, 82 Corridors 444, 450 Corrosive environments 295 Corrosives 188, 198 Corrosivity 369 Counselors organizational resource (ORC) 209 Criterion 65 Cross-contamination 347 Cross-sectional study 50 Cryogenic cylinder 482 Cryogenic liquids transfer 483 Cryogenic pumps 238 Cryopumps 237 maintenance 231 Cryotrap residues 198 Crystal growth 199 CTS 41 Current 273 Current flow 272 Cut-off rooms 354 CVD 231, 233, 584 Cyanide 192 Cyanogen chloride 229 Cylinder coffin 490 Cylinder purge gas source 506 Cylinder scale 508 Cylinder valve 483, 484 diaphragm (packless ) 483 outlet connection 484 packed type 483 Cylinders. See Gas cylinders bursting 488 discharging 493
620 Semiconductor Safety Handbook empty 494 handling 479 identification markings 489 international transport 489 leaking 490 opening 494 silane 418 used 494 Cytochromes 367
D Dampers 395 Data toxilogical, chemical, physical Data acquisition 612 Data management 610, 614 Data-point 216 Daughter plasma product 344 Dedicated lines 477 Deep purge 506 Deep-UV 318 Defatting agents 373 Definitions technical 272 Degreasers 201, 236, 245 Dehydroabietic acid 235 Demographic 33 Deposition furnace 231 Dermal absorption 245 Dermal exposure 244 Dermal hazards 247 Dermatitis 373 Design changes 283 Design Professional 466 Detection levels 463 Detection speed 599, 606 Detection system 599 Detection tape 589 Detection techniques future 613 Detector drift 604, 605 integration 608 mass spectrometry 591 resolution 604 selection 604 sensitivity 604 stability 604
472
Detectors 412 sampling type 412 Detonable pyrophoric 418, 420 Deuterium gas 319 Dewar flasks 482 Diaphragm valve 483, 497 Diazonaphthoquinone 222 Diborane 26, 233, 238, 508, 529 Dichlorodifluoromethane 373, 531 Dichlorosilane 419, 533 Diffusion 195, 199, 231 membrane 367 Diffusion area workers 45 Diffusion furnace operators 53 Diffusion membrane 607 Digital Equipment Corporation 44 Dilutents 201 Dimethylsulfoxide 366 Directory. See Occupational Title Directory Discharge head extended 398 Discharging air means and location 448 Disclosure 78 Disilane 535 Distraction 286 Distribution 366 Division occupancy group 437 Documentation 284 Dopant materials 195 Dopants 195 hydride 233 Dopefilm 48 Doping 230 Dose response relationship 49, 51 Dosimetry personal 310 DOT label 489 Draft-stopping penetrations 450 Dross removal 235 Dry etch 193, 229 Duct sprinklers 401 Ducts 393, 394, 396, 450, 453 Ductwork 199 materials 390 Due diligence 81 Dusts 253
Index 621 E Early warning systems 411 Earthquake safety systems 610 ECS 446 location requirements 458 Eductor vacuum 507 venturi 503 Effectiveness 73 Effects toxic 368 EGE 49, 50, 54 EGEEA 227 Elastomers 496 Electric shocks 282 Electrical access panels 292 Electrical apparatus gas cabinets 457 HPM storage facilities 457 pyrophoric gases and liquids 458 solvent abatement system 458 workstations 457 Electrical contact results 275 Electrical cords 293 Electrical hazards 270, 289 Electrical installations 290 Electricity 385 Electrics 425 Electrochemical cell 589, 607 Electrolyte solution 607 Electromagnetic fields (EMFs) 305 Elevated risk 46 ELF-MF 53, 307 Eligibility 49 Emergency Control Station (ECS) 446 definition 458 Emergency generator size 454 Emergency incident 207 Emergency power 449, 454 Emergency procedures 278, 419, 491 Emergency response 278, 280, 609 Emergency safety system 610 Emergency shut offs fabrication areas 455 EMF 307 extremely low frequency (ELF) 305
Employee age 35 Endpoints 45 Enforcement 31 Envelope exterior 387 Environment effects 606 Environmental auditing 68 Environmental awareness 89 Environmental compliance 61 Environmental compliance programs 60 Environmental engineering 65 Environmental management 87 Environmental marketing 90 Environmental personnel 65 Environmental policy 63 Environmental training 90 Environmentalists corporate 89 Environments 269 hazardous 295 Enzymes 367 EPA 430 Epidemiologic studies 29, 51, 56 Epitaxial growth 231 Epitaxy 199 molecular beam (MBE) 233 Epoxy 234 Equipment 313 fixed 199 new 284 Ergonomic 40 Etchant 246 Etchant gases 229 Etchers 229, 314 Etching 192, 197, 227 anisotropic 229 metal 192 plasma 371 Ethanol 363, 367 2-Ethoxyethanol 218 2-Ethoxyethyl acetate 244 Ethylene glycol ether 244 Ethylene glycol monoethyl ether 50, 190 acetate 227 Evacuation vacuum 511 Evaluating regulators criteria 495 Evaluation 205, 289
622 Semiconductor Safety Handbook Events non-emergency 205 Excess flow valve 499 Excimer lasers 318 Excretion 367 Exhaust gas room 359 laboratory 359 loss 359 system 392 Exhaust air duct system 448, 450 Exhaust ducts corrosive vapors 453 flammable vapors 453 Exhaust effluents 237 Exhaust emissions 239 Exhaust ventilation 261, 447, 448, 450 emergency power 454 Exhausts metal etcher 230 Exit corridors 444, 450 requirements 442 Exitways 442 Explosion-proof hardware 296 Explosions 188, 194, 198, 295, 417 Exposure 45, 231, 270, 340, 362, 382, 383 arsenic 231 chemical 341 definition 362 diborane gas 231 frequency 363 groups 52 household ELF 311 hydrides 231 industry ELF 312 magnetic field 306 magnitude 363 particulate 234 route 362 similarities 55 solvent 222 UV sources 318 workplace 307 Exposure assessment 47, 209, 259 Exposure limits 370 ACGIH 370 biological 206 OSHA 370
Extension Cords 293 Extinguishers 296 Extinguishing systems fixed 426 Eye irritation 245
F Fabrication area 448 Fabrication workers 48 Face seal 254 connections 507 Facilities support 61 Facility owner 466 Factors environmental 66 Factory Mutual 395, 452 Fecundity ratios 50 Fertility 50 Field study 238 Filling density 481 Filters 391, 502 HEPA 390 performance test 391 Fine leak detection 236 Fire department 386 availability 387 fully paid 386 volunteer 386 Fire detection and alarm system 459 Fire detectors 509 Fire extinguishers 296 Fire fighting 383 Fire pump diesel 407 electric 407 horizontal 408 steam 407 vertical turbine 408 Fire retardant 395 Fire suppression system 450 Fireman’s command station 464 Fit tests 255 Fittings 475 leakage rate 495 Flammable and combustible liquids code requirements 446 Flammable gases 421 tubing 422
Index 623 Flow meters 501 Flow monitoring devices 511 Flow rate 352 Flow restrictor 348 passive 348 Flow-limiting devices 348 Fluoride 49 Fluorides concentration 52 Fluorine 229, 339, 371 Fluorocarbons 372 Fluorosis 372 FM guidelines 452 FMEA 332 Footnotes 432 Formaldahyde 222 Framework organizational 67 Frangible disk 488 Frequency 274 Frequency statistics 30 Frosting 508 Fume formation 235 treatment 421 Fume hoods 194, 290, 293. See also Hood Function audit 72 Fuses 293 Fusible metal device 488
G GACT 85 Gallium 233 Gallium arsenide wafer manufacturing 221 Galvanic corrosion 474 Gamma radiation 322 Gas analyzers 463 Gas cabinet controller 510 Gas cabinet requirements 504 Gas cabinet ventilation 509 Gas cabinets 403, 448, 452, 477, 503 flammable gases 422 pyrophorics 420 sprinklered 453 Gas chromatography/mass spectroscopy (GC/MS) 259
Gas cylinders 199. See also cylinders accidents 346 construction materials 480 DOT regulations 480 identification of 489 impurities 508 international transport of 489 receiving 489 safety 346 temperatures 508 types 479 usage 493 Gas delivery systems security 511 Gas detection 604, 606 principles 589 Gas detection system 446, 583 components 587 Gas detectors 603, 608 calibrations 607 direct 604 indirect 604 maintenance 607 multi-point 603 portable 612 single point 603 Gas handling 416 Gas identification 594 Gas leak detection 239 Gas monitoring 238, 608, 612 Gas panel 505, 506 controllers 358 design features 506 pigtails 506 specifications 505 Gas plasma 193 Gas pressure 496 Gas regulator types 494 Gas rooms 421 Gas safety 356 Gas sensors 463 Gas storage room 417, 504 Gas supply lines automatic shutdown 461 Gas system monitoring 508 Gas-detection system 460
624 Semiconductor Safety Handbook Gaseous chemicals requirements for storage and use 505 Gases 196 applications 339 chlorine 373 dopant 233 flammable 421 uses 199 Geosciences 66 Germane 536 GFCI 293 GFI 293 Gloves 218, 247, 250 chemical protective 243 effectiveness 251 materials 244 selection 247 testing 250 Glycol ethers 45, 190, 192 derivatives 244 Gold etching 192 Gradients 195 Grandfathered facilities 465 Gravimetric filling 481 Green Book 582 Green marketing 90, 91 Ground Fault Circuit Interrupters 293 Ground isolation hazard 270 Ground protection 291 Grounding electrical 274 Group race/ethnic 37 GTAW 474 Guarding 291 Guidelines 79, 81 flow-limiting (SEMI) 350 safety 338 SEMI 338 sentencing 79
H H Occupancy 381, 432 H-6 Occupancy 381 Halogen compounds 372 Halogen-containing substances 371 Handbook of Reactive Chemicals. 333 Harmful exposure category 38 Hastelloy 496
Hazard 270, 361 analysis 288, 332, 333 assessment 332, 340 electrical 270 evaluation 330 laser beam 316 radiation 304 recognition 289 review 329, 332 Hazard category 439 chemical classification 440 Hazard classification 489 Hazard management 286, 288 Hazard review techniques 332 Hazardous air pollutants 85 Hazardous Chemicals 423 Hazardous gases 331 Hazardous material storage rooms 445 Hazardous materials 61 Hazardous occupancy 432 Hazardous production material (HPM) 440 Hazardous Response Team 415 Hazardous waste 61 Hazards 188, 289 dermal 247 potential 207 reproductive 227 HAZOP 332 HCG 55 Headache 245, 373 Health environmental 328 Health and safety review 205 Health effects 26, 312 Health Hazard Evaluations 28 Health hazards 439 Health outcomes 46 Hearing conservation 242 protection 242 Heated baths 426 Heaters 511 Helium 538 HEPA filters 192, 389, 447 HEPA tests 391 Heptane 395 Hexachloroethane 229, 342, 343, 344
Index 625 Hexafluoroethane 372 Hexamethyldisilizane 189 High Hazard Chemical facility 416 High voltage 291 Highly Protected Risk (HPR) 379 Hispanic employees 37 Historical cohort study 44, 47, 53 History 67, 378, 582 Holistic approach 87 Homogeneous Exposure Group 209. See also HEG Hood 192 wet-process 197 Horizontal exits 442 Hot spots 321 Hot-work 285 House services 359 Housekeeping 290 HPM 395, 432, 505 maximum quantity of 440 HPM storage emergency shut off 457 separation 445 ventilation rates 448 HPR risk 379 HVAC systems 447 Hydride 231 Hydride exposures 238 Hydride gases 198 Hydrochloric acid 188, 372, 388 Hydrofluoric acid 188, 192, 197, 228, 246, 371 Hydrogen 199, 541 Hydrogen bromide 374, 543 Hydrogen chloride 229, 372, 545 Hydrogen chloride exposure 233 Hydrogen fluoride 342, 547 Hydrogen halide 372 Hydrogen iodide 339 Hydrogen iodine 331 Hydrogen peroxide 188, 192 Hydrogen selenide 549 Hydrohalic acids 372 Hygiene industrial 187 Hygienist industrial 252 Hypothesis testing 46
I IBM study 53 IBM tests 340 ICBO 381, 582 Identification functional component 594 IDLH 504, 584 Immunity 369 Impairment 404 Implantation ion 231 Implanters 195, 231 Incidence rates 28, 34 Indicators 28, 283 Indium phosphide 221 Industrial hygiene monitoring 206 Industrial Risk Insurers 386, 452 Inertness 473 Influence 91 company 92 Ingots 221 Injury 40 illness surveillance 32 Inline purifiers 503 Insecticidal odor 343 Insulation 382 Insurance HPR 427 Integrated circuit manufacturing 61 Integrated circuits 60 Interferents 596, 604 Interhalogen compounds 372 Interlocks 295 International transport of cylinders 489 Iodine 331 Ion implant 199, 231, 319 Ionization flame 593 Ionized particles 193 Ionizing radiation 318 IRI 386 Irradiators 304, 322, 323 Irritation 369 Isolation devices 296
J JHU 53 John’s Hopkins University 47 John’s Hopkins University study
53
626 Semiconductor Safety Handbook K Krypton-85
304, 318, 322
L Labeling circuit 291 Laser marking systems 316 Lasers 236, 315, 318 Lead 218, 260 Lead exposures 235 Lead standard 240, 241 Leak 490 Leak detection 318 Leak detection systems 322 Leak integrity 507 Leak rate 496 Leak systems 304, 323 Leak testing 236, 321, 478 Leakage 495 Leaker room 417 Leaking cylinders 490 LEL 584 LEV 222 Levopimaric acid 235 Liability regulatory 79 Life safety 447 evaluation 430, 465 Limits occupational exposure 370 Lines location 476 Lipid solubility 365 Liquid flammable 393 definition 423 Liquified compressed gas 481 Liquified gas cylinders 481 Liquified oxygen 482 Lithography 222 x-ray 320 Litigation 209 Local exhaust ventilation 222 Lockout/tagout 298 Log and Summary of Occupational Injuries and Illnesses 30 Longevity CAT 83
Loss history 420 Loss prevention 379 professionals 415 program 427 Lost workday cases 38 Low temperature protection
492
M M-Pyrol 245 Machinery 40 MACT 85 Magnetic field exposures 53 Mains underground 408 Maintenance 198, 216, 291, 607 exposure during 313 laser beam 315 Make-up air 449 Management chemical safety 327 Management information system 34 Manual pull stations 459 Manuals 292 Manufacturers instructions 497 Marking laser package 236 Masking 222 Masking supergroup 48 Masks dust 253 Mass flow controllers 501, 511 Mass flowmeters 501, 509 Material safety data sheets 374 Materials 188 byproduct 198 photolithographic 244 radioactive 321 water reactive 452 Maximum allowable area 440 MBE 233 MBOCA 241 Mechanism disciplinary 81 Menace 275 Mercury 233 Mercury cadmium tellurium 233 Mercury vapor arc lamps 222 Metabolism 366, 367 enzymatic 367
Index 627 Metal etchers 229 Metal residues 234 Metallization 197, 233 Methanol 222, 234, 243 2-Methoxyethanol 244 2-Methoxyethyl acetate 244 Methyl fluoride 551 Methylene chloride 222, 342 Microlithography 222 Microwave excitation 193 Miscarriage 44, 46, 47, 50 Mitigation program 84 Mixed occupancies 437 Mobile emergency scrubber 490 MOCVD 233 Model building codes. 380 Model Ordinance 582 Modes operating 289 Molecular beam epitaxy 233 Monel 496 Monitoring 205 continuous 583 continuous gas 238 emergency response 207 gas system 508 industrial hygiene 204, 254 when not to monitor 208 Monitoring data 207 Monitoring equipment 65 Monitoring methods 206 Monitoring plan 216 Monitoring systems 458 Monitors 196 air 239 Monoethanolamine solutions 236 MSDS 205, 374, 440
N N-butyl acetate 244 N-methyl-2-pyrrolidone 245 N-methylpyrrolidone 244 National Building Code 381 National Fire Protection Association 380 National Health and Nutrition Examination Study 51 National Institute for Occupational Safety and Hea 28, 29
NEC 430, 505 Neck leak 490 Needs organizational 66 Neurobehavioral Evaluation System 51 Neutron 319 NFPA 380, 396, 430, 451, 505 NFPA 13 404 NFPA 20 405, 407 NFPA 22 405 NFPA 24 405 NFPA 318 395, 402, 417, 422 NFPA 58 417 NFPA 71 414 NFPA 72 414 NFPA 90 391 NIOSH 28, 29, 370, 504 Nitric acid 197 Nitrogen 199, 553 Nitrogen trifluoride 240, 331, 556 Nitrous oxide 558 NMP vapors 245 Noise 241 Noncombustible 388 Noncompliance 81 Nosebleed 373 Novolak 222, 234
O Objective 60 CAT 82 Obstructions 402 Occupancy classification 432 location 441 Occupational Exposure Limits 364 Occupational Health System 27, 28 Occupational Safety and Health Administration 29 Occupational Title Directory 32 Odor identification 256 Odors 205 unknown 256 Off-gassed 194 Off-gassing 195, 238 Ohm’s Law 273 OHS 32 Oil changes 238
628 Semiconductor Safety Handbook Oil filters 259 On-site audit 72, 77 Operations key facility 60 Optical detectors 460 Orbital welding 474, 475 ORC 209 Organic cleaning solvents 234 Organic materials 197 Orifice limiting 350, 353 purging 353 restricted flow 418, 421 sizing 352 OSHA 29, 240, 255, 298, 332, 370, 430 OSHA Hazard Communication Standard 374 OSHA-101 30 OSHA-200 30, 31 Outlet connections 484 Outlet strips 290, 293 Ovarian steroid hormone metabolites 55 Over-zealousness 286 Overexertions 38 Overfill 481 Oxidizers 192, 197, 424 Oxygen 199, 482, 560 Oxygen displacement 372 Oxygen radicals 372
P Packed type cylinder valve 483 Packed valves 497 Pad areas 241 Panel boxes 294 Panel design 506 Panels wall 388 Paper tape gas detectors 607 Parmelee head 379 Particle counters 479 Particulate 479 Particulate Air Filter Units 391 Particulate blasting 195 Patterning 192 Patty’s Industrial Hygiene and Toxicology 206 PEL 370, 584
People key 285 Perfluoropolyether 238 Perfluoropropane 562 Permits 85 Personal protection 290 Personal protective equipment (PPE) 242 Personal sampling requirements 238 Personnel 584 environmental 65 Personnel files 262 PGE 49 PGMEA 244 pH 365 Phagocytosis 365 Phenol-formaldahyde 222 Phenols 192 Phosgene 343 Phosphine 26, 188, 221, 231, 233, 563 Phosphorous pentafluoride 565, 604 Phosphorus trichloride 233 Photolith 189 Photolithographic workers 45 Photolithography 189, 222, 227 Photolithography workers 46 Photomasking 222 Photoresist 49, 54, 222 PHR 332 Physical hazards 439 Pigtail 505 Pinhole leaks 247, 250 Pipe specialty 398 Piping 199, 354, 402, 421, 422 Piranha etch 197 pKa 365 Plasma 193 Plasma ashing 227 Plasma etching 199, 331 process 368 Plasma technology 331 Plenums 400, 403, 451 return air 400 Plug valve 498 Plugs 294 Pneumonitis 373 Poison A classification 476 Polarized plugs 294
Index 629 Policies 287 Pollution secondary 235 Polonium-210 321 Polyethylene 388 Polyisoprene 222 Polypropylene 394 Power sources 385 Power loss 359 Power requirements 463 Power strips 293 PPE protocols 243 Practices electrical 280 Pre-planning 608 Pregnancy rate 55 Preliminary code evaluation/study Preplanning IRI 386 Prescrubbers 233 Pressure inert purge gas 511 Pressure gauges 500 Pressure hazard 416 Pressure regulation 495 Pressure regulator 494, 507 Pressure relief 482, 487, 488 Pressure switches 501 Pressure test 478 Pressure transducer 500 Prevention program 84 Printed circuit board 60 Procedures 188 gas handling 416 Process gas 196, 472 flow rate of 509 piping system 473 Processes 199 Products environmentally safe 87 Program compliance audit 72 diligence 81 due diligence 84 scope 70 Project construction phasing 469
467
Project manager 470 team members 470 Project presentation 467 Project proposal issues 467 Project requirements 468 Propylene glycol 244 Propylene glycol ethers 49 Prospective study 49 Protection levels 271 Public good 63 Pulmonary edema 372 Pump oil surveys 259 Pump oils 198, 238, 342 Pumps 407, 408 booster 406 domestic 408 vacuum 237 Purge 506 Purge gas 498 Purge panel 420 Purging 510 Purifiers 502, 503 PVC 388, 394 Pyrolysis products 235 Pyrophoric gases 348, 403, 458, 504 Pyrophoric materials 453, 460 storage 446 Pyrophorics 418 dispensing 420 transport 419
Q Quartz resonators
613
R Race/ethnic 35, 37 Radiation 307, 313, 322 ionizing 318 sources 321 specific license 322 ultraviolet (UV) 317 Radiation Safety Officer 323 Radioactive material 318 Radiofrequency 307 Radiofrequency excitation 193 Radioisotopes 304
630 Semiconductor Safety Handbook Rate miscarriage 54 pregnancy 55 Rate comparisons 34 Reactants 201 Reaction catalytic 593 Reaction chamber 259 Reaction products 229 Recirculating air streams 447 Recirculating fans emergency shut off 457 Recirculation 392 Record 609 Recordkeeping 30, 32, 259, 586 system 31 Records continuous monitor 261 employee exposure 260 facility 73 personnel 262 transfer 260 ventilation 261 Recovery time 607 Reduced flow orifice 489 Redundancy 385 Regulating valves 497 Regulations 68, 430, 581 Regulator 495 cleaning 497 factors for choosing 496 "lockup" 495 material of construction 496 seat leakage 495 segregated for gas service 497 tied seat 495 two stage 495 Regulatory issues 429 Relationship dose response 51 Relief devices 487 Relief valve 499, 507 Remonitor 208 Renovation 466 Repeatability 594 Reporting 280 Reproductive hazards 190 Reproductive health 45 Reproductive outcomes 44
Requirements regulatory 67 Resampling 208 Residue 195 surface 240 Resin 222 Resist stripper 245 Resistance electrical 273 Resists 222, 227 Resources health, safety 374 Respirator airline 251 documentation 255 fit testing 254 health surveillance 255 maintenance 255 personal reasons for wearing 253 selection 254 training 254 usage 251 Respirator program 251 evaluation 255 procedures 252 Respiratory impairment 340 Respiratory protection program 254 Respiratory sensitizer 235 Response actions 609 Response time 599, 603 Response Time Index 397 Results 56, 78 Retard chamber 409 Retention period 260 Retrofit of facility 465 Return-air system 450 RF/microwave radiation 313 Risk 48, 364 Risk mitigation 429 Risk ratio 50 Robot 236 Rosin 235 Rotameter 502, 509 Roughing pumps 238 Routing considerations 476 Rush 285
Index 631 S Safety emphasis 287 preventive 281 Safety control systems 610 Safety cookbook 299 Safety design reviews 301 Safety plan 297 Safety relief devices 487 Safety signs 291 Sample personal 260 Sample points 599 SBCC 431 SBCCI 381, 582 SCBA 251 Scheduling 470 Scrubbers 195, 199 Scrutiny regulatory 67 Secondary containment 476 disadvantage 477 modes 476 Selenium hexafluoride 568 Self Contained Breathing Apparatus (SCBA) 251 SEMATECH 329 SEMI 205, 338 Semiconductor gas facilities codes and regulations governing 505 Semiconductor Industry Association 28, 46, 307, 333 Semiconductor Safety Association 29, 333 Sensitization 369 Sensor drift 606 Sensor locations 463 Sensors 463 solid state 589 toxic gas 477 Service corridors 444 requirements 444 Service pressure 481 Setpoints alarm/action 597 Severity 277 Short-term exposure limits 370 Shutoff valves 461, 497, 506
Shutoffs 457 SIA 32, 46 SIC 31 SIC code 30 Signaling auxiliary 413 central station 413 Signs warning 271 Silane 346, 353, 418, 495, 498, 504, 569 Silicon age 187 Silicon tetrachloride 373, 570 Silicon tetrafluoride 342, 371, 572 Silver 234 Site selection 381 Skin 363 absorption 366 Skin absorption 218 Small valve box 505 Smoke detection 411, 446, 459 Smoke door holders 460 Smoke removal 392 Smoke/fire dampers 450 Soap bubble 490 Solder operations 235 Soldering 235 hand 235 Solvent exposure 45, 234, 236 Solvents 53, 192, 198, 201, 218 Sources 68 alpha 322 exposure 323 ionizing 304 non-ionizing 304 Special hazards 380 equipment 411 Spectraphotometry infrared (IR) 593 Spectrometry flame emission 591 mass 239 molecular emission 591 Spectroscopy concentration mass (MS/MS) 259 Spectrum electromagnetic 304 Spill containment 452, 463 Spill-alarms 463
632 Semiconductor Safety Handbook Sprains 40, 41 Sprinkler flow and tamper switches 460 Sprinkler heads 397 inspection 402 modified 401 union 402 Sprinkler system 379, 396 antifreeze 399 deluge 399 dry pipe 399 preaction 399 size 404 types 398 wet pipe 398 Sprinklers 395, 450, 451 automatic 379, 396 below 403 combustible tools 402 duct 401 hydraulics 402 quick response 451 Sputtering 199 Sputtering systems 197 Staff training 422 Staffing 66 Stainless tubing 473 Standard Building 381 Standards 80 Standby power 455 STEL 370, 584 Stewardship product 91 Stoddard solvent 192 Storage 491 bulk 417 hazardous chemicals 423 pyrophorics 419 regulations 492 rooms 452 Strain guage 500 Strains 40, 41 Strategies proactive 84, 85 Stratum corneum 366 Stress 285 Stripper 245 photoresist 245 Strobe lights 464
Study ELF exposure 306 prospective 49 prospective cohort 54 worker health 307 Substrates inorganic 188 Sulfur hexafluoride 372, 573 Supervision fire pump 411 valve 410 Suppression system 454 Surveillance 380, 409 Survey ELF 312 radiation 320 RF 313 Switches 292, 455 positive-acting 290 pressure 501 System monitoring 508 System start-up 471 Systemic toxicity 369 Systems bulk delivery 424 card entry 415 clean room 389 electrical 269 electrical ground 274 fire protection 379 fume exhaust 393 pre-purchase 470 proprietary 414 smoke removal 392 solvent 190
T T.V. motion activated 415 Tagout 298 Tamper switches 460 Tank surge 406 Teams corrective action 82 emergency response (ERT) 208 Techniques risk management 86
Index 633 Technology engineering 64 green 88 Temperature controllers 511 Temperature monitoring 509 Temperature regulation 511 Tertiary butyl arsine 233 Tertiary butyl phosphine 233 Testing bubble/leak 236 urinary 218 Tests marking 236 Tetrafluoromethane 372, 575 TGMS 583 Thread sealant 493 Threshold 369 Threshold limit values 370 Tied diaphragm valve 483 Title 29 CFR Part 1904 30 Title III 85 Title V 85 TLV 370, 584 Tooling 195 Tools 421 assessment 209 cluster 236, 237 Topography 382 Toxic gas 446 Toxic Gas Model Ordinance 476, 582 Toxic gas monitoring system 599 Toxic gas ordinances 466 Toxic gases 26, 238, 460 Toxic materials 194 Toxicity acute 368 chronic 368 factors 364 local 368 systemic 368, 369 Toxicodynamics 365 Toxicokinetics 365 Toxicologists 360 Toxicology concepts 361 data 374 forensic 360 information, databases 206 occupational 361
Toxics 417 Toxins 360 Training 278, 287 Transducer 500 electronic 500 types 500 Transfer switches 455 Transfer systems “Coke” 424 Transformers 385 Transport 365 Transport functional component 588 Transportation 491 Travel distance allowable 442 Trends future 613 Trichlorofluoromethane 373, 577 Trichlorosilane 579 Trifluorobromomethane 374 Trifluoromethane 372 Troubleshooting 283 Tubing support 476 Tungsten hexafluoride 580 Tunnel test 395 Turbomolecular pumps 238 Turbopump 237 TWA 370, 584
U UBC 430, 439, 505, 582 UC Davis 46, 51 UFC 430, 439, 582 UFC revisions pyrophoric materials 458 UL 430 UL 586 390, 391 UL 723 390, 391, 394 UL 900 391 UL E84 394 Ulceration 374 Ulcers 192 ULPA filters 447 Ultra Low 390 Ultraviolet (UV) light 222 UMC 430 UNF 505 Uniform Building Code (UBC)
381, 431
634 Semiconductor Safety Handbook Uniform Fire Code 348 Uninterruptible power supply 449 Units experimental 45 x-ray packaging 236 University of Massachusetts 44 UPC 430 Upgrades 612 UPS 449, 463 Urinary arsenic monitoring 218 Utility 384
V Vacuum pump oils 342 Vacuum pumps 237, 503 Valdez Principles 88 Values threshold limit 206 Valves 497 ball or plug type 498 blocks 498 box 505 cylinder 347, 483 diaphragm 483 emergency shutoff 507 excess flow 499, 507 high pressure side vent 506 inert gas purge 507 low (delivery) pressure side vent 506 packed 497 purging 507 regulator isolation 506 relief 499 spring loaded safety relief 488 types 497 Vapor degreasers 60, 208 Vapor deposition metallorganic chemical (MOCVD) 233 Vapors flammable 393 VCR fitting 475 advantage 475 Ventilation 26, 447, 448, 449, 450 Venturi eductor 503 Vertical turbine pumps 408 Viton 496 Voice alarm system 464 Voltage 272, 291
W Wafer manufacturing 221 Wafer fabrication 440 Wall valve 904A 358 Waste disposal 424 Waste treatment systems 199 Waste water 60 Wastes 64 Watchman service 415 Water discharge 402 sources 384 Water damage 379 Water supply 379, 384, 405 primary 406 secondary 408 Waterflow alarm check valves 410 electric bell 410 excess pressure pump 410 Wave solder 60, 235 Welding 474 Wet benches 228 Wet etching 227 WFCA 582 Wipe sampling 240 Wiring 290 Work histories 53 injuries 26 Work product 78 Worker exposure 25 health 28 safety 345 Worker motion/position 40 Workstations 452
X X-ray diffraction units 320 X-rays 318, 319, 321 Xylene 45, 192, 227, 244
Z Zones
392